Jump to content

ASML Holding

From Wikipedia, the free encyclopedia

ASML Holding N.V.
Company typePublic
IndustrySemiconductor industry
Founded1984 (joint venture)
1988 (independent company)
HeadquartersVeldhoven,Netherlands
Key people
ProductsPhotolithographysystems for thesemiconductorindustry
RevenueIncrease27.56 billion(2023)[1]
Increase€9.042 billion(2023)[1]
Increase€7.839 billion(2023)[1]
Total assetsIncrease€39.96 billion(2023)[1]
Total equityIncrease€13.45 billion(2023)[1]
Number of employees
42,416 (2023)[1]
Websiteasml

ASML Holding N.V.(commonly shortened toASML,originally standing forAdvanced Semiconductor Materials Lithography) is a Dutchmultinational corporationfounded in 1984. ASML specializes in the development and manufacturing ofphotolithographymachines which are used to producecomputer chips.

As of 2023it is the largest supplier for thesemiconductor industryand the sole supplier in the world ofextreme ultraviolet lithography(EUV) photolithography machines that are required to manufacture the most advanced chips.[2]As of March 2024,ASML was the most highly valued European tech company, with a market capitalization of about US$397 billion.[3][4]

ASML's corporate headquarters is inVeldhoven,Netherlandsand the location for research, development, manufacturing and assembly. ASML employs more than 42,000 people[1]from 143 nationalities and relies on a network of nearly 5,000 tier 1 suppliers.[5]ASML has a worldwide customer base and over sixty service points in sixteen countries.[5]It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Hong Kong, Japan, South Korea, Malaysia, Singapore, and Taiwan.[5]

The company is listed on both theAEXandNASDAQStock Exchanges, as ASML. It is also a component of theEuro Stoxx 50[6]andNASDAQ-100.[7]

Products[edit]

A diagonally cut ASML lens

ASML produces thephotolithographymachines used in the production of computer chips. In these machines, patterns are optically imaged onto a siliconwaferthat is covered with a film of light-sensitive material (photoresist). This procedure is repeated dozens of times on a single wafer. The photoresist is then further processed to create the actual electronic circuits on the silicon. The optical imaging that ASML's machines deal with is used in the fabrication of nearly all integrated circuits and, as of 2011, ASML had 67 percent of the worldwide sales of lithography machines.[8]

ASML's competition consisted ofUltratech,CanonandNikon,MKS Instruments,Lam ResearchandCadence Design Systems.[citation needed]

Immersion lithography[edit]

Sinceimmersion lithographywas first proposed byBurn-Jeng Linin the 1970s,[9]ASML cooperated withTaiwan Semiconductor Manufacturing(TSMC). In 2004, TSMC began commercial production of90 nanometersemiconductor nodesusing ASML immersion lithography.[10]As of 2011, their high-end TWINSCAN NXT:1950i system was used for producing features down to 32nanometresat up to 200 wafers per hour,[11]using a waterimmersion lensand anargon fluoride laserthat produces light at a wavelength of 193 nm. As of 2011,an average lithography machine cost27 million.[12]

DUV lithography[edit]

Deep ultraviolet (DUV) lithography devices from ASML use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.[13]

EUV lithography[edit]

Extreme ultraviolet lithography(EUV) machines produce light in the 13.5 nmwavelengthrange when a high-energylaseris focused on microscopic droplets of moltentinto produce aplasma,which then emits EUV light. The light is bounced offZeissmirrors onto the surface of a silicon wafer to deliver the designs for the chip.[14]

In 2009, theIMECresearch center in Belgium produced the world's first functional 22 nmCMOSStatic random-access memorymemory cells with a prototype EUV lithography machine.[15]After decades of development, ASML shipped the first productionextreme ultraviolet lithographymachine in either 2011[12]or 2013[16].

As of 2022,ASML has shipped around 140 EUV systems, and it is the only company to manufacture them.[2]ASML's best-selling EUV product has been the TWINSCAN NXE:3600D, which costs up to $200 million.[2]Shipping the machine the size of a truck requires moving 180 tons with threeBoeing 747s.[17]

ASML is working on the next generation of EUV systems, with the first shipments to customers for R&D purposes expected to take place at the end of 2023.[16]The platform is designatedHigh-NAas it will increase thenumerical aperture(NA) from 0.33 to 0.55,[16]and each system is expected to cost $300 million.[2]

History[edit]

The company, originally named ASM Lithography, is named ASML as its official name and not an abbreviation.[18]It was founded in 1984 as a joint venture between the Dutch companiesASMandPhilips.Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.[19]

ASML released the lithography system PAS 5500 in 1991, which became an extremely successful platform for the company.[20]The PAS 5500 was first utilized byMicron Technology,which was one of the world's largest producers of computer memory and storage, and ASML's largest customer at that time.[21]The success of the PAS 5500 line propelled ASML into strong competition withCanonandNikon,who were the leaders in that era of the lithography market.[20]

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a consortium, includingInteland two other U.S. chipmakers, in order to exploit fundamental research conducted by theUS Department of Energy.Because theCRADAit operates under is funded by the US taxpayer, licensing must be approved by Congress. It collaborated with the BelgianIMECandSematechand turned toCarl Zeissin Germany for its need of mirrors.[22]

In 2000, ASML acquired the Silicon Valley Group (SVG), a US lithography equipment manufacturer also licensed for EUV research results, in a bid to supply 193 nm scanners toIntel Corp.[23][24]

In 2002, it became the largest supplier of photolithography systems.[25]

At the end of 2008, ASML experienced a large drop in sales, which led management to cut the workforce by about 1000 worldwide, mostly contract workers[26]and to apply for support from the Dutch national unemployment fund to prevent even larger layoffs.[27]Two and a half years later, ASML expected a record-high revenue.[28]

In July 2012,Intelannounced a deal to invest $4.1 billion into ASML in exchange for 15% ownership, in order to speed up the transition from 300 mm to450 mm wafersand further development of EUV lithography.[29][30]This deal was without exclusive rights to future ASML products and, as of July 2012, ASML was offering another 10% of the shares to other companies.[31]As part of their EUV strategy, ASML announced the acquisition of DUV and EUV sources manufacturer Cymer in October 2012.[32]

In November 2013, ASML paused development of 450 mm lithography equipment, citing uncertain timing of chipmaker demand.[33]

In 2015, ASML sufferedintellectual property theft.A number of employees had been found stealing confidential data from its Silicon Valley software subsidiary that develops software for machine optimization.[34]

In June 2016, ASML announced their plans to acquire Taiwan-based Hermes Microvision Inc. for about $3.1 billion to add technology for creating smaller and more advancedsemiconductors.[35]

In 2018, theTrump administrationtried to block the sale of ASML technology to China,[36]but as of 2021, the ongoingglobal chip shortageas well as the "technological cold war" between the US and China had been a business opportunity for ASML.[17]

In November 2020, ASML revealed that it had acquired the Germanoptical glassmakingfirm Berliner Glas Group in order to meet increasing need for components for its EUV systems.[37]

In July 2021,Thierry BretonEuropean Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors.[38]After reporting earnings in July 2021, the company said they had a near monopoly for machines used byTSMCandSamsung Electronicsto make the advanced chips.[39]

In February 2023, ASML claimed that a former worker in China "allegedly" stole information about the company's technology. This was not the first time that ASML was allegedly linked with an intellectual property breach connected to China. In its 2021annual report,ASML mentioned thatDongfang Jingyuan Electron Limited"was actively marketing products in China that could potentially infringe on ASML's IP rights."[40]At the time, theUnited States Department of Commerceexpressed concern abouteconomic espionageagainst ASML.[41]In October 2023, Dutch newspaperNRC Handelsbladreported that the former employee who "allegedly" stole data about ASML's technology subsequently went to work forHuawei.[42]

In March 2023, the Dutch government placed restrictions on chip exports in order to protect national security. This measure affected ASML as one of the most important companies in the global microchip supply chain.[43]Export license requirements came into effect in September 2023.[44]

In June 2023, the Netherlands' Institute for Human Rights ruled that despite the country'sconstitutionprohibiting discrimination based on nationality, ASML was allowed to reject job applications from residents of countries subject to sanctions under the U.S.Export Administration Regulations(such as Cuba, Iran, North Korea, and Syria) in order to remain compliant with U.S. law.[45][46][47]

In January 2024, the Dutch government placed further restrictions on the shipment of some advanced chip-making equipment to China.[48]

Finances[edit]

Financial data in € millions[1][49]
Year 2013 2014 2015 2016 2017 2018 2019 2020 2021 2022 2023
Revenue 5 245 5 856 6 287 6 795 9 053 10 944 11 820 13 979 18 611 21 173 27 559
Net Income 1 016 1 197 1 387 1 472 2 119 2 592 2 592 3 554 5 883 5 624 7 839
Assets 11 514 12 204 13 295 17 206 18 196 20 137 22 630 27 267 30 231 36 300 39 958
Employees 10 360 11 318 12 168 13 991 16 219 20 044 23 219 26 614 29 861 36 112 42 416

Shareholder[edit]

Top Institutional Holders[50]
Holder Shares Date Reported % Out Value
Price (T. Rowe) Associates Inc 10,991,878 29 June 2022 2.70% 5,152,552,838
Capital World Investors 6,492,254 29 June 2022 1.60% 3,043,309,048
Fisher Asset Management, LLC 4,595,741 29 June 2022 1.13% 2,154,299,596
Capital International Investors 3,919,239 29 June 2022 0.96% 1,837,182,511
Morgan Stanley 3,156,574 29 June 2022 0.78% 1,479,675,659
WCM Investment Management, LLC 3,089,503 29 September 2022 0.76% 1,448,235,456
Edgewood Management Company 2,919,498 29 June 2022 0.72% 1,368,543,910
State Farm Mutual Automobile Insurance Co 2,834,225 29 June 2022 0.70% 1,328,571,338
FMR, LLC 2,763,695 29 June 2022 0.68% 1,295,509,695
Sands Capital Management, LLC 1,942,740 29 June 2022 0.48% 910,678,821
Top Mutual Fund Holders[51]
Holder Shares Date Reported % Out Value
American Balanced Fund 2,523,702 29 September 2022 0.62% 1,183,010,574
Growth Fund Of America Inc 2,407,395 29 September 2022 0.59% 1,128,490,503
iShares Core MSCI EAFE ETF 2,138,919 29 September 2022 0.53% 1,002,639,691
Washington Mutual Investors Fund 2,138,040 29 September 2022 0.53% 1,002,227,651
Advisors Inner Circle Fund-Edgewood Growth Fd 1,623,694 29 June 2022 0.40% 761,122,815
Price (T.Rowe) Growth Stock Fund Inc. 1,627,216 29 June 2022 0.40% 762,773,788
iShares MSCI Eafe ETF 1,319,874 29 September 2022 0.32% 618,704,149
Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF 1,264,161 29 September 2022 0.31% 592,588,122
New Perspective Fund Inc 1,217,878 29 September 2022 0.30% 570,892,503
Investment Managers Ser Tr-WCM Focused International Growth Fd 1,197,952 30 July 2022 0.29% 561,551,991

Sponsorships[edit]

ASML became a sponsor of thePSVsoccer club in 2019 together withPhilips.VDL Groep,Royal Swinkels Family BrewersandJumbo Supermarketsfrom the Brainport region.[52][53]Together they run various initiatives like soccertraining campsfor school children, the development of interactive programs for teaching, assisting community members in need or who are new to the region, as well as supporting a vitality program that is online.[54][55]

Awards[edit]

  • TheIEEESpectrum Emerging Technology 2018 Award was given to ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry.[56]
  • In the category for Popular Prize, Vadim Banine received the 2018 European Inventor Award for shaping the future of microchip manufacturing.[57]
  • The largest independent microelectronics research center in Europe is calledIMEC(Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.[58]
  • The Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the Dutch IR Awards 2019 annual award ceremony.[59]
  • ASML received theSEMIAmericas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach toextreme ultraviolet lithography(EUV), which helped it become commercially viable and opened the door to new technological possibilities.[60]
  • TheIntelPreferred Quality Supplier (PQS) Award for 2020 was awarded to ASML. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement.[61]
  • The Dutch Innovation Prize 2021 was awarded to ASML at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg inWassenaar.[62]
  • ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.[63]

References[edit]

  1. ^abcdefgh"ASML 2023 Annual Report (Form 20-F)".US Securities and Exchange Commission. 14 February 2024.Archivedfrom the original on 14 February 2024.
  2. ^abcdTarasov, Katie (23 March 2022)."ASML is the only company making the $200 million machines needed to print every advanced microchip. Here's an inside look".CNBC.Archivedfrom the original on 14 November 2022.Retrieved30 March2023.
  3. ^"Largest tech companies by market cap".CompaniesMarketcap.9 April 2023.Archivedfrom the original on 9 November 2022.Retrieved9 April2023.
  4. ^O'Grady, Carmel; Kenyon, Matthew (21 February 2023)."How ASML became Europe's most valuable tech firm".BBC News.
  5. ^abc"2022 Combined Annual Report - ASML".ASML.15 February 2023.Archivedfrom the original on 9 April 2023.Retrieved9 April2023.
  6. ^"ASML HOLDING - Euronext exchange Live quotes".Euronext.Archivedfrom the original on 9 April 2023.Retrieved9 April2023.
  7. ^"Quotes For Nasdaq-100 Index".Nasdaq.Archivedfrom the original on 25 December 2021.Retrieved9 April2023.
  8. ^ASML: Sustainability - performance and targetsArchived14 August 2018 at theWayback Machine.ASML (2011)
  9. ^"Burn Lin: The 2023 SPIE Mozi Award".spie.org.Archivedfrom the original on 6 May 2023.Retrieved6 May2023.
  10. ^"90 nm Technology".TSMC.2019.Archivedfrom the original on 26 June 2019.Retrieved30 June2019.
  11. ^ASML Enhances NXT:1950i to Meet Challenging Imaging and Overlay Requirements and Provide a Cost Effective Platform for 22nmArchived5 April 2012 at theWayback Machine.Press release, 12 July 2011.
  12. ^abThird quarter 2011 resultsArchived5 April 2012 at theWayback Machine.ASML press release.
  13. ^"ASML EUV lithography systems".asml.Retrieved4 July2023.
  14. ^Patel, Nilay (31 January 2023)."The global battle over chip manufacturing and why the US is trying to stop China from buying machines from the Netherlands".The Verge.Archivedfrom the original on 31 March 2023.Retrieved30 March2023.
  15. ^IMEC presents functional 22 nm SRAM cells fabricated using EUV technologyArchived1 June 2010 at theWayback Machine.IMEC press release, 22 April 2009.
  16. ^abc"ASML EUV lithography systems".ASML.Archivedfrom the original on 31 March 2023.Retrieved30 March2023.
  17. ^abChristoph G. Schmutz (30 July 2021)."Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist".
  18. ^"About ASML: Questions and Answers".ASML Holding.Archivedfrom the original on 28 July 2010.Retrieved3 August2010.
  19. ^"ASML: About ASML".20 February 2014.Archivedfrom the original on 28 July 2010.Retrieved27 December2022.
  20. ^abCuofano, Gennaro (11 October 2022)."How Does ASML Make Money? The ASML Business Model In A Nutshell".FourWeekMBA.Archivedfrom the original on 31 March 2023.Retrieved30 March2023.
  21. ^"Three decades of PAS 5500".ASML.Archivedfrom the original on 31 March 2023.Retrieved30 March2023.
  22. ^Clark, Don (4 July 2021)."The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach".The New York Times.ISSN0362-4331.Archivedfrom the original on 16 January 2023.Retrieved9 November2022.
  23. ^"ASML drops SVG-developed 193-nm scanner to focus on Twinscan platform | EE Times".Archivedfrom the original on 7 September 2014.Retrieved7 September2014.
  24. ^"Intel kills plan to use SVG's 193-nm scanners in production due to delays | EE Times".Archivedfrom the original on 7 September 2014.Retrieved7 September2014.
  25. ^"Litho-History Milestones"(PDF).lithoguru.
  26. ^ASML Takes Action to Adjust Its Organization Due to Severe Order SlowdownArchived15 March 2010 at theWayback MachinePress release.
  27. ^Chip-Equipment Firm ASML Cuts Outlook, Sets LayoffsArchived25 May 2018 at theWayback Machine.WSJ, 19 December 2008.
  28. ^ASML Sees Record YearArchived25 May 2018 at theWayback Machine.The Wall Street Journal,April 2011.
  29. ^ASML wins funds for chip technology from IntelArchived1 November 2021 at theWayback Machine.Reuters, 10 July 2012.
  30. ^ASML Announces Customer Co-Investment Program Aimed at Accelerating InnovationArchived12 July 2012 at theWayback Machine.ASML Press release, 9 July 2012.
  31. ^"Intel funds next-gen chipmaking, buys into ASML for $4.1 billion".Reuters.9 July 2012.Archivedfrom the original on 31 July 2020.Retrieved5 July2021.
  32. ^[1]Archived8 March 2014 at theWayback MachineASML Press release, 17 October 2012
  33. ^"ASML 2013 Annual Report Form (20-F)"(XBRL).United States Securities and Exchange Commission. 11 February 2014.Archivedfrom the original on 24 September 2015.Retrieved27 August2017.In November 2013, following our customers' decision, ASML decided to pause the development of 450 mm lithography systems until customer demand and the timing related to such demand is clear.
  34. ^Deutsch, Toby Sterling, Anthony (11 April 2019)."ASML says it suffered intellectual property theft, rejects 'Chinese' label".Reuters.Archivedfrom the original on 10 May 2021.Retrieved26 March2021.{{cite news}}:CS1 maint: multiple names: authors list (link)
  35. ^Ian King, Bloomberg. "ASML to Acquire Taiwan's Hermes Microvision for $3.1 BillionArchived4 September 2016 at theWayback Machine."15 June 2016. 16 June 2016.
  36. ^"Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources".Reuters.6 January 2020.Archivedfrom the original on 9 November 2022.Retrieved9 November2022.
  37. ^"ASML acquisition of Berliner Glas Group completed".asml.Archivedfrom the original on 5 March 2021.Retrieved26 March2021.
  38. ^Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race".European Commission website.
  39. ^"ASML shares fall on report US wants to restrict sales to China".Reuters.6 July 2022.Archivedfrom the original on 14 March 2023.Retrieved14 March2023.
  40. ^"US-China chip war: ASML says China employee stole data".BBC News.16 February 2023.Archivedfrom the original on 16 February 2023.Retrieved16 February2023.
  41. ^"ASML Stolen Data Came From Technical Repository for Chip Machines".Bloomberg News.15 February 2023.Retrieved23 October2023.
  42. ^Koc, Cagan (23 October 2023)."Ex-ASML Staff Accused of Theft Went to Work for Huawei, NRC Says".Bloomberg News.Retrieved23 October2023.
  43. ^"US-China chip war: Netherlands moves to restrict some exports".BBC News.9 March 2023.Archivedfrom the original on 9 March 2023.Retrieved9 March2023.
  44. ^Corder, Mike (30 June 2023)."Dutch semiconductor machine export restrictions to come into force in September".AP News.Archived fromthe originalon 30 June 2023.Retrieved30 June2023.
  45. ^mkivit (20 June 2023)."ASML maakt onderscheid op grond van nationaliteit maar dit is niet verboden".RADAR(in Dutch).Retrieved29 January2024.
  46. ^Sterling, Toby (26 June 2023)."Dutch human rights body: ASML may follow US export rules when hiring".Reuters.Retrieved21 March2024.
  47. ^Veiligheid, Ministerie van Justitie en (20 June 2023)."College oordeelt: aannamebeleid ASML levert geen verboden onderscheid op - Nieuwsbericht - College voor de Rechten van de Mens".mensenrechten.nl(in Dutch).Retrieved29 January2024.
  48. ^Madhok, Diksha (2 January 2024)."ASML forced to suspend some China exports after US escalates tech battle | CNN Business".CNN.Retrieved2 January2024.
  49. ^"ASML Dividende | KGV | Bilanz | Umsatz | Gewinn".boerse.de(in German).Archivedfrom the original on 26 March 2022.Retrieved26 March2022.
  50. ^"Retrieved 6 November 2022".Archivedfrom the original on 10 October 2022.Retrieved6 November2022.
  51. ^"Retrieved 6 November 2022".Archivedfrom the original on 10 October 2022.Retrieved6 November2022.
  52. ^"Sports, arts and music initiatives at ASML".ASML.Retrieved26 June2024.
  53. ^"Brainport and companies unite".psv.nl.18 December 2023.Retrieved26 June2024.
  54. ^"PSV and ASML expand cooperation".psv.nl.11 June 2021.Retrieved26 June2024.
  55. ^"Annual Report 2021".sec.gov.Retrieved26 June2024.
  56. ^"IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony".ieeetv.ieee.org.30 May 2018.Archivedfrom the original on 13 November 2022.Retrieved6 November2022.
  57. ^"European Inventor Award 2018".zeiss.Archivedfrom the original on 13 November 2022.Retrieved6 November2022.
  58. ^"ASML Man wins top award".eindhovennews.15 May 2019.Archivedfrom the original on 13 November 2022.Retrieved3 November2022.
  59. ^"ASML, BESI, Basic Fit winners Dutch IR Awards 2019".cffcommunications.nl.11 January 2019.Archivedfrom the original on 13 November 2022.Retrieved3 November2022.
  60. ^"ASML wins semi-Americas Award for EUV".asml.Archivedfrom the original on 30 October 2022.Retrieved30 October2022.
  61. ^"ASML earns Intel's 2020 Preferred Quality Supplier PQS Award".marketscreener.30 March 2021.Archivedfrom the original on 30 October 2022.Retrieved30 October2022.
  62. ^"ASML Wins Dutch Innovation Prize".siliconcanals.27 October 2021.Archivedfrom the original on 30 October 2022.Retrieved30 October2022.
  63. ^"ASML and Incooling Win CoSta Award".incooling.Archivedfrom the original on 13 November 2022.Retrieved12 November2022.

External links[edit]

  • Official websiteEdit this at Wikidata
  • "The Silicon Age: Trends in Semiconductor Devices Industry",2022
  • Business data for ASML Holding N.V.:

Media related toASMLat Wikimedia Commons