Jump to content

Photomask

From Wikipedia, the free encyclopedia
(Redirected fromMask set)
A photomask. This photomask has 20 copies, also called layers, of the same circuit pattern or design.
A schematic illustration of a photomask (top) and an IC layer printed using it (bottom)

Aphotomask(also simply called amask) is an opaque plate with transparent areas that allow light to shine through in a defined pattern. Photomasks are commonly used inphotolithographyfor the production ofintegrated circuits(ICs or "chips" ) to produce a pattern on a thinwaferof material (usuallysilicon). In semiconductor manufacturing, a mask is sometimes called areticle.[1][2]

In photolithography, several masks are used in turn, each one reproducing a layer of the completed design, and together known as amask set.A curvilinear photomask has patterns with curves, which is a departure from conventional photomasks which only have patterns that are completely vertical or horizontal, known as manhattan geometry. These photomasks require special equipment to manufacture.[3]

History

[edit]

For IC production in the 1960s and early 1970s, an opaquerubylithfilm laminated onto a transparentmylarsheet was used. The design of one layer was cut into the rubylith, initially by hand on an illuminateddrafting table(later by machine (plotter)) and the unwanted rubylith was peeled off by hand, forming the master image of that layer of the chip, often called "artwork". Increasingly complex and thus larger chips required larger and larger rubyliths, eventually even filling the wall of a room, and artworks were to be photographically reduced to produce photomasks (Eventually this whole process was replaced by theoptical pattern generatorto produce the master image). At this point the master image could be arrayed into a multi-chip image called areticle.The reticle was originally a 10X larger image of a single chip.

The reticle was by step-and-repeater photolithography and etching used to produce a photomask with image-size the same as the final chip. The photomask might be used directly in the fab or be used as master-photomask to produce the final actual working photomasks.

As feature size shrank, the only way to properly focus the image was to place it in direct contact with the wafer. Thesecontact alignersoften lifted some of thephotoresistoff the wafer and onto the photomask and it had to be cleaned or discarded. This drove the adoption of reverse master photomasks (see above), which were used to produce (with contact photolithography and etching) the needed many actual working photomasks. Later, projection photo-lithography meant photomask lifetime was indefinite. Still later direct-step-on-waferstepperphoto-lithography used reticles directly and ended the use of photomasks.

Photomask materials changed over time. Initiallysoda glass[4]was used withsilver halideopacity. Laterborosilicate[5]and thenfused silicato control expansion, andchromiumwhich has better opacity toultraviolet lightwere introduced. The original pattern generators have since been replaced byelectron beam lithographyandlaser-driven mask writer ormaskless lithographysystems which generate reticles directly from the original computerized design.

Overview

[edit]
A simulated photomask. The thicker features are the integrated circuit that is desired to be printed on the wafer. The thinner features are assists that do not print themselves but help the integrated circuit print better out-of-focus. The zig-zag appearance of the photomask is becauseoptical proximity correctionwas applied to it to create a better print.

Lithographic photomasks are typically transparentfused silicaplates covered with a pattern defined with achromium(Cr) orFe2O3metal absorbing film.[6]Photomasks are used at wavelengths of 365nm,248 nm, and 193 nm. Photomasks have also been developed for other forms of radiation such as 157 nm, 13.5 nm (EUV),X-ray,electrons,andions;but these require entirely new materials for the substrate and the pattern film.[6]

Aset of photomasks,each defining a pattern layer inintegrated circuit fabrication,is fed into a photolithographystepperorscanner,and individually selected for exposure. Inmulti-patterningtechniques, a photomask would correspond to a subset of the layer pattern.

Historically in photolithography for themass productionofintegrated circuitdevices, there was a distinction between the termphotoreticleor simplyreticle,and the termphotomask.In the case of a photomask, there is a one-to-one correspondence between the mask pattern and the wafer pattern. The mask covered the entire surface of the wafer which was exposed in its entirety in one shot. This was the standard for the 1:1mask alignersthat were succeeded bysteppersandscannerswith reduction optics.[7]As used in steppers and scanners which use image projection,[8]the reticle commonly contains only one copy, also called one layer of the designedVLSIcircuit. (However, some photolithography fabrications utilize reticles with more than one layer placed side by side onto the same mask, used as copies to create several identical integrated circuits from one photomask). In modern usage, the terms reticle and photomask are synonymous.[9]

In a modern stepper or scanner, the pattern in the photomask is projected and shrunk by four or five times onto the wafer surface.[10]To achieve complete wafer coverage, the wafer is repeatedly "stepped"from position to position under the optical column or the stepper lens until full exposure of the wafer is achieved. A photomask with several copies of the integrated circuit design is used to reduce the number of steppings required to expose the entire wafer, thus increasing productivity.

Features150 nm or below in size generally requirephase-shiftingto enhance the image quality to acceptable values. This can be achieved in many ways. The two most common methods are to use an attenuated phase-shifting background film on the mask to increase the contrast of small intensity peaks, or to etch the exposedquartzso that the edge between the etched and unetched areas can be used to image nearly zero intensity. In the second case, unwanted edges would need to be trimmed out with another exposure. The former method isattenuated phase-shifting,and is often considered a weak enhancement, requiring special illumination for the most enhancement, while the latter method is known asalternating-aperture phase-shifting,and is the most popular strong enhancement technique.

As leading-edgesemiconductorfeaturesshrink,photomask features that are 4× larger must inevitably shrink as well. This could pose challenges since the absorber film will need to become thinner, and hence less opaque.[11] A 2005 study byIMECfound that thinner absorbers degrade image contrast and therefore contribute to line-edge roughness, using state-of-the-art photolithography tools.[12] One possibility is to eliminate absorbers altogether and use "chromeless" masks, relying solely on phase-shifting for imaging.[13][14]

The emergence ofimmersion lithographyhas a strong impact on photomask requirements. The commonly used attenuated phase-shifting mask is more sensitive to the higher incidence angles applied in "hyper-NA" lithography, due to the longer optical path through the patterned film.[15]During manufacturing, inspection using a special form of microscopy called CD-SEM (Critical-Dimension Scanning Electron Microscopy) is used to measure critical dimensions on photomasks which are the dimensions of the patterns on a photomask.[16]

EUV lithography

[edit]

EUV photomaskswork by reflecting light,[17]which is achieved by using multiple alternating layers ofmolybdenumandsilicon.

Mask error enhancement factor (MEEF)

[edit]

Leading-edge photomasks (pre-corrected) images of the final chip patterns are magnified by four times. This magnification factor has been a key benefit in reducing pattern sensitivity to imaging errors. However, as features continue to shrink, two trends come into play: the first is that the mask error factor begins to exceed one, i.e., the dimension error on the wafer may be more than 1/4 the dimension error on the mask,[18]and the second is that the mask feature is becoming smaller, and the dimension tolerance is approaching a few nanometers. For example, a 25 nm wafer pattern should correspond to a 100 nm mask pattern, but the wafer tolerance could be 1.25 nm (5% spec), which translates into 5 nm on the photomask. The variation of electron beam scattering in directly writing the photomask pattern can easily well exceed this.[19][20]

Pellicles

[edit]

The term "pellicle" is used to mean "film", "thin film", or "membrane." Beginning in the 1960s, thin film stretched on a metal frame, also known as a "pellicle", was used as a beam splitter for optical instruments. It has been used in a number of instruments to split a beam of light without causing an optical path shift due to its small film thickness. In 1978, Shea et al. at IBM patented a process to use the "pellicle" as a dust cover to protect a photomask or reticle. In the context of this entry, "pellicle" means "thin film dust cover to protect a photomask".

Particle contamination can be a significant problem in semiconductor manufacturing. A photomask is protected from particles by a pellicle – a thin transparent film stretched over a frame that is glued over one side of the photomask. The pellicle is far enough away from the mask patterns so that moderate-to-small sized particles that land on the pellicle will be too far out of focus to print. Although they are designed to keep particles away, pellicles become a part of the imaging system and their optical properties need to be taken into account. Pellicles material are Nitrocellulose and made for various Transmission Wavelengths. Current pellicles are made from polysilicon, and companies are exploring other materials for high-NA EUV and future chip making processes.[21][22]

Pellicle Mounting Machine MLI

Leading commercial photomask manufacturers

[edit]

TheSPIEAnnual Conference, Photomask Technology reports theSEMATECHMask Industry Assessment which includes current industry analysis and the results of their annual photomask manufacturers survey. The following companies are listed in order of their global market share (2009 info):[23]

Major chipmakers such asIntel,Globalfoundries,IBM,NEC,TSMC,UMC,Samsung,andMicron Technology,have their own large maskmaking facilities orjoint ventureswith the abovementioned companies.

The worldwide photomask market was estimated as $3.2 billion in 2012[24]and $3.1 billion in 2013. Almost half of the market was from captive mask shops (in-house mask shops of major chipmakers).[25]

The costs of creating new mask shop for 180 nm processes were estimated in 2005 as $40 million, and for 130 nm - more than $100 million.[26]

The purchase price of a photomask, in 2006, could range from $250 to $100,000[27]for a single high-endphase-shift mask.As many as 30 masks (of varying price) may be required to form a complete mask set. As modern chips are built in several layers stacked on top of each other, at least one mask is required for each of these layers.

See also

[edit]

References

[edit]
  1. ^"Reticle Manufacturing".KLA.Retrieved2024-01-05.
  2. ^Diaz, S.L.M.; Fowler, J.W.; Pfund, M.E.; Mackulak, G.T.; Hickie, M. (November 2005)."Evaluating the Impacts of Reticle Requirements in Semiconductor Wafer Fabrication".IEEE Transactions on Semiconductor Manufacturing.18(4): 622–632.doi:10.1109/TSM.2005.858502.ISSN0894-6507.S2CID37911295.
  3. ^"The Quest for Curvilinear Photomasks".15 April 2021.
  4. ^Introduction to Microfabrication.John Wiley & Sons. 29 October 2010.ISBN978-1-119-99189-2.
  5. ^Handbook of Photomask Manufacturing Technology.CRC Press. 3 October 2018.ISBN978-1-4200-2878-2.
  6. ^abShubham, Kumar n (2021).Integrated circuit fabrication.Ankaj Gupta. Abingdon, Oxon.ISBN978-1-000-39644-7.OCLC1246513110.{{cite book}}:CS1 maint: location missing publisher (link)
  7. ^Rizvi, Syed (2005). "1.3 The Technology History of Masks".Handbook of Photomask Manufacturing Technology.CRC Press. p. 728.ISBN9781420028782.
  8. ^Principles of Lithography.SPIE Press. 2005.ISBN978-0-8194-5660-1.
  9. ^"Reticle".
  10. ^Lithography experts back higher magnification in photomasks to ease challenges// EETimes 2000
  11. ^Y. Sato et al.,Proc. SPIE,vol. 4889, pp. 50-58 (2002).
  12. ^M. Yoshizawa et al.,Proc. SPIE,vol. 5853, pp. 243-251 (2005)
  13. ^Toh, Kenny K.; Dao, Giang T.; Singh, Rajeev R.; Gaw, Henry T. (1991)."Chromeless phase-shifted masks: A new approach to phase-shifting masks".In Wiley, James N. (ed.).10th Annual Symp on Microlithography.Vol. 1496. pp. 27–53.doi:10.1117/12.29750.S2CID109009678.
  14. ^Eom, Tae-Seung; Lim, Chang M.; Kim, Seo-Min; Kim, Hee-Bom; Oh, Se-Young; Ma, Won-Kwang; Moon, Seung-Chan; Shin, Ki S. (2003)."Comparative study of chromeless and attenuated phase shift mask for 0.3-k 1 ArF lithography of DRAM".In Yen, Anthony (ed.).Optical Microlithography XVI.Vol. 5040. pp. 1310–1320.doi:10.1117/12.485452.S2CID109838206.
  15. ^C. A. Mack et al.,Proc. SPIE,vol. 5992, pp. 306-316 (2005)
  16. ^"CD-SEM: Critical-Dimension Scanning Electron Microscope".
  17. ^"Archived copy"(PDF).Archived fromthe original(PDF)on 2017-06-02.Retrieved2019-06-23.{{cite web}}:CS1 maint: archived copy as title (link)
  18. ^E. Hendrickxet al.,Proc. SPIE 7140, 714007 (2008).
  19. ^C-J. Chenet al.,Proc. SPIE 5256, 673 (2003).
  20. ^W-H. Cheng and J. Farnsworth, Proc. SPIE 6607, 660724 (2007).
  21. ^https://community.cadence /cadence_blogs_8/b/breakfast-bytes/posts/what-is-high-na-euv[bare URL]
  22. ^ Chris A. Mack (November 2007)."Optical behavior of pellicles".Microlithography World.Retrieved2008-09-13.
  23. ^Hughes, Greg; Henry Yun (2009-10-01). "Mask industry assessment: 2009". In Zurbrick, Larry S.; Montgomery, M. Warren (eds.).Photomask Technology 2009.Vol. 7488. pp. 748803–748803–13.Bibcode:2009SPIE.7488E..03H.doi:10.1117/12.832722.ISSN0277-786X.S2CID86650806.{{cite book}}:|journal=ignored (help)
  24. ^Chamness, Lara (May 7, 2013)."Semiconductor Photomask Market: Forecast $3.5 Billion in 2014".SEMI Industry Research and Statistics.Retrieved6 September2014.
  25. ^Tracy, Dan; Deborah Geiger (April 14, 2014)."SEMI Reports 2013 Semiconductor Photomask Sales of $3.1 Billion".SEMI.Retrieved6 September2014.
  26. ^Weber, Charles M.; Berglund, C. Neil (February 9, 2005). "The Mask Shop's Perspective".An Analysis of the Economics of Photomask Manufacturing Part – 1: The Economic Environment(PDF).ISMT Mask Automation Workshop. p. 6. Archived fromthe original(PDF)on 2016-03-03.Capital-intensive industry. Investment levels….. – ~$40M for 'conventional' (180-nm node or above) – >$100M for 'advanced' (130-nm node and beyond)
  27. ^Weber, C.M; Berglund, C.N.; Gabella, P. (13 November 2006)."Mask Cost and Profitability in Photomask Manufacturing: An Empirical Analysis"(PDF).IEEE Transactions on Semiconductor Manufacturing.19(4): 465–474.doi:10.1109/TSM.2006.883577.S2CID2236552.doi:10.1109/TSM.2006.883577;page 23 table 1