Jump to content

XScale

From Wikipedia, the free encyclopedia
(Redirected fromPXA27x)

XScaleis amicroarchitectureforcentral processing unitsinitially designed byIntelimplementing theARM architecture(version 5)instruction set.XScale comprises several distinct families: IXP, IXC, IOP, PXA and CE (see more below), with some later models designed assystem-on-a-chip(SoC). Intel sold the PXA family toMarvell Technology Groupin June 2006.[1]Marvell then extended the brand to include processors with othermicroarchitectures,likeArm'sCortex.

The XScale architecture is based on the ARMv5TEISAwithout thefloating-pointinstructions. XScale uses a seven-stage integer and an eight-stage memory super-pipelinedmicroarchitecture.It is the successor to the IntelStrongARMline ofmicroprocessorsandmicrocontrollers,which Intel acquired fromDEC's Digital Semiconductor division as part of a settlement of a lawsuit between the two companies. Intel used the StrongARM to replace its ailing line of outdatedRISCprocessors, thei860andi960.

All the generations of XScale are 32-bit ARMv5TE processors manufactured with a 0.18 μm or 0.13 μm (as in IXP43x parts) process and have a 32KBdatacacheand a 32 KB instruction cache. First- and second-generation XScalemulti-core processorsalso have a 2 KB mini data cache (claimed it "avoids 'thrashing' of the D-Cache for frequently changing data streams"[2]). Products based on the third-generation XScale have up to 512 KB unified L2 cache.[3]

Processor families

[edit]

The XScale core is used in a number ofmicrocontrollerfamilies manufactured byInteland Marvell:

  • Application processors (with the prefix PXA). There are four generations of XScale application processors, described below: PXA210/PXA25x, PXA26x, PXA27x, and PXA3xx.
  • I/O processors (with the prefix IOP).
  • Network processors (with the prefix IXP).
  • Control planeprocessors (with the prefix IXC).
  • Consumer electronics processors (with the prefix CE).

There are also standalone processors: the 80200 and 80219 (targeted primarily atPCIapplications).

PXA

[edit]

PXA System on a Chip (SoC) products were designed in Austin, Texas. The code-names for this product line are small towns in Texas, primarily near deer hunting leases frequented by the Intel XScale core and mobile phone SoC marketing team. PXA System on a Chip products were popular on smartphones and PDAs (withWindows Mobile,Symbian OS,Palm OS) during 2000 to 2006.[4]

Released date Code name ARM type Fab Size Pin count Clock speeds
PXA21x and PXA26x
PXA210 February 2002 - ARMv5 XScale1 core[5] 13x13mm[6] 255 pinT-PBGA 133 MHz and 200 MHz
PXA250 Cotulla 17x17mm[6] 256-pinPBGA 200MHz,300 MHz and 400 MHz[7]
PXA255 March 2003
PXA26x
PXA260 March 2003 Dalhart ARMv5 XScale1 core[8] 200MHz,300 MHz and 400 MHz
PXA261
PXA263
PXA27x
PXA270 April 2004 Bulverde ARMv5 XScale2 core 312 MHz, 416 MHz, 520 MHz and 624 MHz
PXA271 13, 104, 208 MHz or 416 MHz
PXA272 312 MHz, 416 MHz or 520 MHz
PXA3xx
PXA300 August 2005 Monahans ARMv5 XScale3 core 208 MHz, 624 MHz
PXA310 624 MHz
PXA320 806 MHz
PXA90x
PXA90x 130 nm
PXA93x
PXA930 Tavor ARMv5 XScale3 core 65 nm 624 MHz, 1000 MHz
PXA935 45 nm
PXA95x
PXA955 ARMv7

PXA210/PXA25x

[edit]
Intel PXA255

The PXA210 was Intel's entry-level XScale targeted atmobile phoneapplications. It was released with the PXA250 in February 2002 and comes clocked at 133 MHz and 200 MHz.

The PXA25x family (code-namedCotulla) consists of the PXA250 and PXA255. The PXA250 was Intel's first generation of XScale processors. There was a choice of threeclock speeds:200MHz,300 MHz and 400 MHz. It came out in February 2002. In March 2003, the revision C0 of the PXA250 was renamed to PXA255. The main differences were a doubled internal bus speed (100 MHz to 200 MHz) for faster data transfer, lower core voltage (only 1.3 V at 400 MHz) for lower power consumption andwritebackfunctionality for the data cache, the lack of which had severely impaired performance on the PXA250.

Intel XScale Core Features:

  • ARMv5TE
  • ARM Thumb
  • ARM DSP
  • L1 32-KByte data and instruction cache

PXA26x

[edit]

The PXA26x family (code-namedDalhart) consists of the PXA260 and PXA261-PXA263. The PXA260 is a stand-alone processor clocked at the same frequency as the PXA25x, but features a TPBGA package which is about 53% smaller than the PXA25x's PBGA package. The PXA261-PXA263 are the same as the PXA260 but have IntelStrataFlashmemory stacked on top of the processor in the same package; 16 MB of 16-bit memory in the PXA261, 32 MB of 16-bit memory in the PXA262 and 32 MB of 32-bit memory in the PXA263. The PXA26x family was released in March 2003.

PXA27x

[edit]
Intel PXA270 with 624 MHz

The PXA27x family (code-namedBulverde) consists of the PXA270 and PXA271-PXA272 processors. This revision is a huge update to the XScale family of processors. The PXA270 is clocked in four different speeds: 312 MHz, 416 MHz, 520 MHz and 624 MHz and is a stand-alone processor with no packaged memory. The PXA271 can be clocked to 13, 104, 208 MHz or 416 MHz and has 32 MB of 16-bit stacked StrataFlash memory and 32 MB of 16-bit SDRAM in the same package. The PXA272 can be clocked to 312 MHz, 416 MHz or 520 MHz and has 64 MB of 32-bit stacked StrataFlash memory.

Intel also added many new technologies to the PXA27x family such as:

  • SpeedStep:the operating system can clock the processor down based on load to save power.
  • WirelessMMX(code-namedConcan;"iwMMXt" ): 43 newSIMDinstructions containing the full MMXinstruction setand the integer instructions from Intel'sSSEinstruction set along with some instructions unique to the XScale. Wireless MMX provides 16 extra 64-bitregistersthat can be treated as an array of two 32-bitwords,four 16-bit halfwords or eight 8-bitbytes.The XScale core can then perform up to eight adds or fourMACsin parallel in a single cycle. This capability is used to boost speed indecodingandencodingof multimedia and in playing games.
  • Additionalperipherals,such as a USB-Host interface and a camera interface.
  • Internal 256 KBSRAMto reduce power consumption and latency.

The PXA27x family was released in April 2004. Along with the PXA27x family Intel released the2700Gembedded graphicsco-processor(code-named Marathon).

PXA3xx

[edit]
Toradex Colibri XScaleMonahansPXA290 SODIMM-module (Prototype Of Marvell PXA320 SODIMM-module)

In August 2005 Intel announced the successor toBulverde,codenamedMonahans.

They demonstrated it showing its capability to play back high definition encoded video on aPDAscreen.

The new processor was shown clocked at 1.25 GHz but Intel said it only offered a 25% increase in performance (800MIPSfor the 624 MHz PXA270 processor vs. 1000 MIPS for 1.25 GHzMonahans). An announced successor to the 2700G graphics processor, code named Stanwood, has since been canceled. sd features of Stanwood are integrated intoMonahans.For extra graphics capabilities, Intel recommends third-party chips like theNvidiaGoForcechip family.

In November 2006,Marvell Semiconductorofficially introduced theMonahansfamily as Marvell PXA320, PXA300, and PXA310.[9]PXA320 is currently shipping in high volume, and is scalable up to 806 MHz. PXA300 and PXA310 deliver performance "scalable to 624 MHz", and are software-compatible with PXA320.

PXA800F

[edit]

CodenamedManitoba,Intel PXA800F was a SoC introduced by Intel in 2003 for use inGSM- andGPRS-enabled mobile phones. The chip was built around an XScale processor core, the likes of which had been used in PDAs, clocked at 312 MHz and manufactured with a 0.13 μm process, with 4 MB of integrated flash memory and adigital signal processor.[10]

A prototype board with the chip was demoed during the Intel Developer Forum.[11]Intel noted it was in talks with leading mobile phone manufacturers, such asNokia,Motorola,Samsung,SiemensandSony Ericsson,about incorporating Manitoba into their phones.[12]

O2XM, released in 2005, was the only mobile phone with a documented use of the Manitoba chip.[13]An Intel executive stated that the chip version used in the phone was reworked to be less expensive than the initial one.[14]

PXA90x

[edit]

The PXA90x, codenamedHermon,was a successor to Manitoba with3Gsupport. The PXA90x is built using a 130 nm process.[15]The SoC continued being marketed by Marvell as they acquired Intel's XScale business.[16][17]

PXA16x

[edit]
PXA168System On Moduleby tianyeit

PXA16x is a processor designed by Marvell, combining the earlier Intel designed PXASoCcomponents with a new ARMv5TE CPU core namedMohawkorPJ1from Marvell'sSheevafamily instead of using wdc Xscale or ARM design. The CPU core is derived from theFeroceoncore used in Marvell's embeddedKirkwoodproduct line, but extended for instruction level compatibility with the XScale IWMMX.

The PXA16x delivers strong performance at a mass market price point for cost sensitive consumer and embedded markets such as digital picture frames, E Readers, multifunction printer user interface (UI) displays, interactive VoIP phones, IP surveillance cameras, and home control gadgets.[18]

PXA930/935

[edit]

The PXA930 and PXA935 processor series were again built using the Sheeva microarchitecture developed by Marvell but upgraded to ARMv7 instruction set compatibility.[19]This core is a so-called Tri-core architecture[20]codenamed Tavor; Tri-core means it supports the ARMv5TE, ARMv6 and ARMv7 instruction sets.[20][21]This new architecture was a significant leap from the old Xscale architecture. The PXA930 uses 65 nm technology[22]while the PXA935 is built using the 45 nm process.[21]

The PXA930 is used in theBlackBerry Bold 9700.

PXA940

[edit]

Little is known about the PXA940, although it is known to beARM Cortex-A8compliant.[23]It is utilized in the BlackBerry Torch 9800[24][25]and is built using 45 nm technology.

PXA986/PXA988

[edit]

After XScale and Sheeva, the PXA98x uses the third CPU core design, this time licensed directly from ARM, in form of dual coreCortex A9application processors[26]utilized by devices likeSamsung Galaxy Tab 3 7.0.[27]

PXA1088

[edit]

It is a quad coreCortex A7application processor withVivanteGPU.[28]

IXC

[edit]

IXC1100

[edit]

The IXC1100 processor features clock speeds at 266, 400, and 533 MHz, a 133 MHz bus, 32 KB of instruction cache, 32 KB of data cache, and 2 KB of mini-data cache. It is also designed for low power consumption, using 2.4 W at 533 MHz. The chip comes in the 35 mm PBGA package.

IOP

[edit]

The IOP line of processors is designed to allow computers and storage devices to transfer data and increase performance by offloading I/O functionality from the main CPU of the device. The IOP3XX processors are based on the XScale architecture and designed to replace the older 80219 sd and i960 family of chips. There are ten different IOP processors currently available: IOP303, IOP310, IOP315, IOP321, IOP331, IOP332, IOP333, IOP341, IOP342 and IOP348. Clock speeds range from 100 MHz to 1.2 GHz. The processors also differ in PCI bus type, PCI bus speed, memory type, maximum memory allowable, and the number of processor cores.

IXP network processor

[edit]
Intel XScale IXP425 SoC in ceramic package

The XScale core is utilized in the second generation of Intel's IXP network processor line, while the first generation used StrongARM cores. The IXP network processor family ranges from solutions aimed at small/medium office network applications, IXP4XX, to high performance network processors such as the IXP2850, capable of sustaining up toOC-192line rates. In IXP4XX devices the XScale core is used as both a control and data plane processor, providing both system control and data processing. The task of the XScale in the IXP2XXX devices is typically to provide control plane functionality only, with data processing performed by themicroengines,examples of such control plane tasks include routing table updates, microengine control, and memory management.

CE

[edit]

In April 2007, Intel announced an XScale-based processor targetingconsumer electronicsmarkets, the Intel CE 2110 (codenamed Olo River).[29]

Applications

[edit]

XScale microprocessors can be found in products such as the popularRIMBlackBerryhandheld, theDell Aximfamily ofPocket PCs,most of theZire,TreoandTungsten Handheldlines byPalm,later versions of theSharp Zaurus,theMotorola A780,the Acer n50, the CompaqiPaq3900 series and many otherPDAs.It is used as the mainCPUin theIyonix PCdesktop computer runningRISC OS,and theNSLU2(Slug) running a form ofLinux.The XScale is also used in devices such as PVPs (Portable Video Players), PMCs (Portable Media Centres), including theCreative ZenPortable Media Player andAmazon KindleE-Book reader, and industrial embedded systems. At the other end of the market, the XScale IOP33x Storage I/O processors are used in some IntelXeon-based server platforms.

Sale of PXA processor line

[edit]

On June 27, 2006, the sale of Intel's XScale PXA mobile processor assets was announced. Intel agreed to sell the XScale PXA business toMarvell Technology Groupfor an estimated $600 million in cash and the assumption of unspecified liabilities. The move was intended to permit Intel to focus its resources on its core x86 and server businesses. Marvell holds a full architecture license for ARM, allowing it to design chips to implement the ARM instruction set, not just license a processor core.[30]

The acquisition was completed on November 9, 2006. Intel was expected to continue manufacturing XScale processors until Marvell secures other manufacturing facilities, and would continue manufacturing and selling the IXP and IOP processors, as they were not part of the deal.[31]

The XScale effort at Intel was initiated by the purchase of theStrongARMdivision fromDigital Equipment Corporationin 1998.[32]Intel still holds an ARM license even after the sale of XScale;[32]this license is at the architectural level.[33]

See also

[edit]

References

[edit]
  1. ^"Marvell buys Intel's handheld processor unit for $600 million".EETimes.
  2. ^"Intel Microarchitecture XScale"(PDF).
  3. ^"3rd Generation Intel XScale(R) Microarchitecture Developer's Manual"(PDF).May 2007. Archived fromthe original(PDF)on February 25, 2008.
  4. ^"Intel And Symbian To Deliver Data-Enabled Mobile Phone Platform".intel.Retrieved2024-10-13.
  5. ^"ARM Marvell SoCs — The Linux Kernel documentation".kernel.org.Retrieved2021-01-27.
  6. ^ab"PXA210 Processors Datasheet pdf - Application Processors. Equivalent, Catalog".datasheetspdf.Retrieved2021-01-27.
  7. ^01net (14 February 2002)."Intel XScale, un processeur plus puissant pour les PDA".01net(in French).Retrieved2021-01-27.{{cite web}}:CS1 maint: numeric names: authors list (link)
  8. ^"Documentation/arm/Marvell/README · 15a48d6f42683f0d53b131b824c18a7bf84978b1 · ARM / NXP / i.MX6 / BSP8 / release / linux-4-14-secoboards-imx6-rel".GitLab.Retrieved2021-01-27.
  9. ^"Marvell Introduces Next Generation Application Processors"(Press release).Marvell.November 29, 2006. Archived fromthe originalon December 16, 2009.
  10. ^"Intel Announces 'Wireless-Internet-On-A-Chip' For Cell Phones".Intel Newsroom.2003-02-13.Archivedfrom the original on 2022-01-24.Retrieved2022-01-24.
  11. ^Kulish, Kim (2003-02-18)."Intel demonstrates the all-in one smartphone Manitoba PXA800F chip..."Getty Images.Corbis.Retrieved2022-01-24.
  12. ^Young, Doug (2003-02-13). "Intel launches cell phone chip, names endorsements".Reuters.
  13. ^Smith, Tony (2005-07-19)."O2 XM music phone: Intel Mobile Media Technology debuts".The Register.Archivedfrom the original on 2022-01-24.Retrieved2022-01-24.
  14. ^Krazit, Tom (2005-06-06)."O2 finally gives Intel's Manitoba a home".PC World Australia.IDG News Service.Archivedfrom the original on 2022-01-24.Retrieved2022-01-24.
  15. ^Intel XScale PXA900 (Hermon) Application Processor with Modem Datasheet | CPUlist.PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  16. ^Goldstein, Harry (2005-01-01)."Intel Tries, Tries Again".IEEE Spectrum.Retrieved2022-01-24.
  17. ^"Marvell Introduces Next Generation Application Processors for Smartphones, Handhelds and Consumer Electronic Devices".Marvell.2006-11-29.Retrieved2022-01-24.
  18. ^"Marvell ARMADA 100 Processors product page".Archived fromthe originalon April 16, 2010.
  19. ^(in Dutch)Google Vertalen.Translate.google.nl. Retrieved on 2013-08-02.
  20. ^ab"Marvell Empowers Mass Market TD-SCDMA OPhones with PXA920 Chipset"(Press release). Marvell. September 8, 2009. Archived fromthe originalon June 3, 2010.
  21. ^abMarvell PXA935 (Tavor-P65) Application Processor with Modem Datasheet | CPUlist.PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  22. ^Marvell PXA930 (Tavor-MG1) Application Processor with Modem Datasheet | CPUlist.PDAdb.net (2012-02-25). Retrieved on 2013-08-02.
  23. ^"Marvell: History of CPU".extranet.marvell.Archived fromthe originalon 3 August 2010.Retrieved17 January2022.
  24. ^Blackberry Torch 9800 - Teardown: TechInsights.Ubmtechinsights (2012-10-25). Retrieved on 2013-08-02.
  25. ^"BlackBerry Torch - Front".Archived fromthe originalon July 17, 2011.RetrievedNovember 12,2010.
  26. ^Fingas, Jon. (2012-08-14)Marvell PXA988, PXA986 chips support 3G for China, the world without reinventing the wheel (or phone).Engadget. Retrieved on 2013-08-02.
  27. ^Samsung Galaxy Tab 3 Runs On A Marvell PXA986 Processor.Ubergizmo. Retrieved on 2013-08-02.
  28. ^Gorman, Michael. (2013-02-19)Marvell announces PXA1088 quad-core SoC for globetrotting phones and tablets.Engadget. Retrieved on 2013-08-02.
  29. ^"Intel System-On-A-Chip Media Processor Powers New Generation Of Consumer Electronics Devices".
  30. ^"About the Intel XScale Microarchitecture".Archived fromthe originalon August 2, 2009.
  31. ^"Intel ditches mobile phone processors".Archived fromthe originalon 2012-09-08.
  32. ^ab"Intel sells XScale business to Marvell for $600m".
  33. ^AMD Jumps Into The ARM Server Business.Forbes. Retrieved on 2013-08-02.
[edit]