Jump to content

Vector processor

From Wikipedia, the free encyclopedia
(Redirected fromVector processing)

Incomputing,avector processororarray processoris acentral processing unit(CPU) that implements aninstruction setwhere itsinstructionsare designed to operate efficiently and effectively on largeone-dimensional arraysof data calledvectors.This is in contrast toscalar processors,whose instructions operate on single data items only, and in contrast to some of those same scalar processors having additionalsingle instruction, multiple data(SIMD) orSIMD within a register(SWAR) Arithmetic Units. Vector processors can greatly improve performance on certain workloads, notablynumerical simulationand similar tasks. Vector processing techniques also operate invideo-game consolehardware and ingraphics accelerators.

Vector machines appeared in the early 1970s and dominatedsupercomputerdesign through the 1970s into the 1990s, notably the variousCrayplatforms. The rapid fall in theprice-to-performance ratioof conventionalmicroprocessordesigns led to a decline in vector supercomputers during the 1990s.

History[edit]

Early research and development[edit]

Vector processing development began in the early 1960s at theWestinghouse Electric Corporationin theirSolomonproject. Solomon's goal was to dramatically increase math performance by using a large number of simplecoprocessorsunder the control of a single masterCentral processing unit(CPU). The CPU fed a single common instruction to all of thearithmetic logic units(ALUs), one per cycle, but with a different data point for each one to work on. This allowed the Solomon machine to apply a singlealgorithmto a largedata set,fed in the form of an array.

In 1962, Westinghouse cancelled the project, but the effort was restarted by theUniversity of Illinois at Urbana–Champaignas theILLIAC IV.Their version of the design originally called for a 1GFLOPSmachine with 256 ALUs, but, when it was finally delivered in 1972, it had only 64 ALUs and could reach only 100 to 150 MFLOPS. Nevertheless, it showed that the basic concept was sound, and, when used on data-intensive applications, such ascomputational fluid dynamics,the ILLIAC was the fastest machine in the world. The ILLIAC approach of using separate ALUs for each data element is not common to later designs, and is often referred to under a separate category,massively parallelcomputing. Around this time Flynn categorized this type of processing as an early form ofsingle instruction, multiple threads(SIMT).

International Computers Limitedsought to avoid many of the difficulties with the ILLIAC concept with its ownDistributed Array Processor(DAP) design, categorising the ILLIAC and DAP as cellular array processors that potentially offered substantial performance benefits over conventional vector processor designs such as the CDC STAR-100 and Cray 1.[1]

Computer for operations with functions[edit]

Acomputer for operations with functionswas presented and developed by Kartsev in 1967.[2]

Supercomputers[edit]

The first vector supercomputers are theControl Data CorporationSTAR-100andTexas InstrumentsAdvanced Scientific Computer(ASC), which were introduced in 1974 and 1972, respectively.

The basic ASC (i.e., "one pipe" ) ALU used a pipeline architecture that supported both scalar and vector computations, with peak performance reaching approximately 20 MFLOPS, readily achieved when processing long vectors. Expanded ALU configurations supported "two pipes" or "four pipes" with a corresponding 2X or 4X performance gain. Memory bandwidth was sufficient to support these expanded modes.

The STAR-100 was otherwise slower than CDC's own supercomputers like theCDC 7600,but at data-related tasks they could keep up while being much smaller and less expensive. However the machine also took considerable time decoding the vector instructions and getting ready to run the process, so it required very specific data sets to work on before it actually sped anything up.

The vector technique was first fully exploited in 1976 by the famousCray-1.Instead of leaving the data in memory like the STAR-100 and ASC, the Cray design had eightvector registers,which held sixty-four 64-bit words each. The vector instructions were applied between registers, which is much faster than talking to main memory. Whereas the STAR-100 would apply a single operation across a long vector in memory and then move on to the next operation, the Cray design would load a smaller section of the vector into registers and then apply as many operations as it could to that data, thereby avoiding many of the much slower memory access operations.

The Cray design usedpipeline parallelismto implement vector instructions rather than multiple ALUs. In addition, the design had completely separate pipelines for different instructions, for example, addition/subtraction was implemented in different hardware than multiplication. This allowed a batch of vector instructions to be pipelined into each of the ALU subunits, a technique they calledvector chaining.The Cray-1 normally had a performance of about 80 MFLOPS, but with up to three chains running it could peak at 240 MFLOPS and averaged around 150 – far faster than any machine of the era.

Cray J90processor module with four scalar/vector processors

Other examples followed.Control Data Corporationtried to re-enter the high-end market again with itsETA-10machine, but it sold poorly and they took that as an opportunity to leave the supercomputing field entirely. In the early and mid-1980s Japanese companies (Fujitsu,HitachiandNippon Electric Corporation(NEC) introduced register-based vector machines similar to the Cray-1, typically being slightly faster and much smaller.Oregon-basedFloating Point Systems(FPS) built add-on array processors forminicomputers,later building their ownminisupercomputers.

Throughout, Cray continued to be the performance leader, continually beating the competition with a series of machines that led to theCray-2,Cray X-MPandCray Y-MP.Since then, the supercomputer market has focused much more onmassively parallelprocessing rather than better implementations of vector processors. However, recognising the benefits of vector processing, IBM developedVirtual Vector Architecturefor use in supercomputers coupling several scalar processors to act as a vector processor.

Although vector supercomputers resembling the Cray-1 are less popular these days, NEC has continued to make this type of computer up to the present day with theirSX seriesof computers. Most recently, theSX-Aurora TSUBASAplaces the processor and either 24 or 48 gigabytes of memory on anHBM2 module within a card that physically resembles a graphics coprocessor, but instead of serving as a co-processor, it is the main computer with the PC-compatible computer into which it is plugged serving support functions.

GPU[edit]

Modern graphics processing units (GPUs) include an array ofshader pipelineswhich may be driven bycompute kernels,and can be considered vector processors (using a similar strategy for hiding memory latencies). As shown inFlynn's 1972 paperthe key distinguishing factor of SIMT-based GPUs is that it has a single instruction decoder-broadcaster but that the cores receiving and executing that same instruction are otherwise reasonably normal: their own ALUs, their own register files, their own Load/Store units and their own independent L1 data caches. Thus although all cores simultaneously execute the exact same instruction in lock-step with each other they do so with completely different data from completely different memory locations. This issignificantlymore complex and involved than"Packed SIMD",which is strictly limited to execution of parallel pipelined arithmetic operations only. Although the exact internal details of today's commercial GPUs are proprietary secrets, the MIAOW[3]team was able to piece together anecdotal information sufficient to implement a subset of the AMDGPU architecture.[4]

Recent development[edit]

Several modern CPU architectures are being designed as vector processors. TheRISC-V vector extensionfollows similar principles as the early vector processors, and is being implemented in commercial products such as theAndes TechnologyAX45MPV.[5]There are also severalopen sourcevector processor architectures being developed, includingForwardComandLibre-SOC.

Comparison with modern architectures[edit]

As of 2016most commodity CPUs implement architectures that feature fixed-length SIMD instructions. On first inspection these can be considered a form of vector processing because they operate on multiple (vectorized, explicit length) data sets, and borrow features from vector processors. However, by definition, the addition of SIMD cannot, by itself, qualify a processor as an actualvector processor,because SIMD isfixed-length,and vectors arevariable-length.The difference is illustrated below with examples, showing and comparing the three categories: Pure SIMD, Predicated SIMD, and Pure Vector Processing.[citation needed]

Other CPU designs include some multiple instructions for vector processing on multiple (vectorized) data sets, typically known asMIMD(Multiple Instruction, Multiple Data) and realized withVLIW(Very Long Instruction Word) andEPIC(Explicitly Parallel Instruction Computing). TheFujitsu FR-VVLIW/vector processor combines both technologies.

Difference between SIMD and vector processors[edit]

SIMD instruction sets lack crucial features when compared to vector instruction sets. The most important of these is that vector processors, inherently by definition and design, have always been variable-length since their inception.

Whereas pure (fixed-width, no predication) SIMD is often mistakenly claimed to be "vector" (because SIMD processes data which happens to be vectors), through close analysis and comparison of historic and modern ISAs, actual vector ISAs may be observed to have the following features that no SIMD ISA has:[citation needed]

  • a way to set the vector length, such as thevsetvlinstruction in RISCV RVV,[7]or thelvlinstruction in NEC SX,[8]without restricting the length to apower of twoor to a multiple of a fixed data width.
  • Iteration and reduction over elementswithinvectors.

Predicated SIMD (part ofFlynn's taxonomy) which is comprehensive individual element-level predicate masks on every vector instruction as is now available in ARM SVE2.[9]AndAVX-512,almost qualifies as a vector processor.[how?]Predicated SIMD uses fixed-width SIMD ALUs but allows locally controlled (predicated) activation of units to provide the appearance of variable length vectors. Examples below help explain these categorical distinctions.

SIMD, because it uses fixed-width batch processing, isunable by designto cope with iteration and reduction. This is illustrated further with examples, below.

Additionally, vector processors can be more resource-efficient by using slower hardware and saving power, but still achieving throughput and having less latency than SIMD, throughvector chaining.[10][11]

Consider both a SIMD processor and a vector processor working on 4 64-bit elements, doing a LOAD, ADD, MULTIPLY and STORE sequence. If the SIMD width is 4, then the SIMD processor must LOAD four elements entirely before it can move on to the ADDs, must complete all the ADDs before it can move on to the MULTIPLYs, and likewise must complete all of the MULTIPLYs before it can start the STOREs. This is by definition and by design.[12]

Having to perform 4-wide simultaneous 64-bit LOADs and 64-bit STOREs is very costly in hardware (256 bit data paths to memory). Having 4x 64-bit ALUs, especially MULTIPLY, likewise. To avoid these high costs, a SIMD processor would have to have 1-wide 64-bit LOAD, 1-wide 64-bit STORE, and only 2-wide 64-bit ALUs. As shown in the diagram, which assumes amulti-issue execution model,the consequences are that the operations now take longer to complete. If multi-issue is not possible, then the operations take even longer because the LD may not be issued (started) at the same time as the first ADDs, and so on. If there are only 4-wide 64-bit SIMD ALUs, the completion time is even worse: only when all four LOADs have completed may the SIMD operations start, and only when all ALU operations have completed may the STOREs begin.

A vector processor, by contrast, even if it issingle-issueand uses no SIMD ALUs, only having 1-wide 64-bit LOAD, 1-wide 64-bit STORE (and, as in theCray-1,the ability to run MULTIPLY simultaneously with ADD), may complete the four operations faster than a SIMD processor with 1-wide LOAD, 1-wide STORE, and 2-wide SIMD. This more efficient resource utilization, due tovector chaining,is a key advantage and difference compared to SIMD. SIMD, by design and definition, cannot perform chaining except to the entire group of results.[13]

Description[edit]

In general terms, CPUs are able to manipulate one or two pieces of data at a time. For instance, most CPUs have an instruction that essentially says "add A to B and put the result in C". The data for A, B and C could be—in theory at least—encoded directly into the instruction. However, in efficient implementation things are rarely that simple. The data is rarely sent in raw form, and is instead "pointed to" by passing in an address to a memory location that holds the data. Decoding this address and getting the data out of the memory takes some time, during which the CPU traditionally would sit idle waiting for the requested data to show up. As CPU speeds have increased, thismemory latencyhas historically become a large impediment to performance; seeMemory wall.

In order to reduce the amount of time consumed by these steps, most modern CPUs use a technique known asinstruction pipeliningin which the instructions pass through several sub-units in turn. The first sub-unit reads the address and decodes it, the next "fetches" the values at those addresses, and the next does the math itself. With pipelining the "trick" is to start decoding the next instruction even before the first has left the CPU, in the fashion of anassembly line,so theaddress decoderis constantly in use. Any particular instruction takes the same amount of time to complete, a time known as thelatency,but the CPU can process an entire batch of operations, in an overlapping fashion, much faster and more efficiently than if it did so one at a time.

Vector processors take this concept one step further. Instead of pipelining just the instructions, they also pipeline the data itself. The processor is fed instructions that say not just to add A to B, but to add all of the numbers "from here to here" to all of the numbers "from there to there". Instead of constantly having to decode instructions and then fetch the data needed to complete them, the processor reads a single instruction from memory, and it is simply implied in the definition of the instructionitselfthat the instruction will operate again on another item of data, at an address one increment larger than the last. This allows for significant savings in decoding time.

To illustrate what a difference this can make, consider the simple task of adding two groups of 10 numbers together. In a normal programming language one would write a "loop" that picked up each of the pairs of numbers in turn, and then added them. To the CPU, this would look something like this:

;Hypothetical RISC machine
;assume a, b, and c are memory locations in their respective registers
;add 10 numbers in a to 10 numbers in b, store results in c
move$10,count;count:= 10
loop:
loadr1,a
loadr2,b
addr3,r1,r2;r3:= r1 + r2
storer3,c
adda,a,$4;move on
addb,b,$4
addc,c,$4
deccount;decrement
jnezcount,loop;loop back if count is not yet 0
ret

But to a vector processor, this task looks considerably different:

;assume we have vector registers v1-v3
;with size equal or larger than 10
move$10,count;count = 10
vloadv1,a,count
vloadv2,b,count
vaddv3,v1,v2
vstorev3,c,count
ret

Note the complete lack of looping in the instructions, because it is thehardwarewhich has performed 10 sequential operations: effectively the loop count is on an explicitper-instructionbasis.

Cray-style vector ISAs take this a step further and provide a global "count" register, called vector length (VL):

;again assume we have vector registers v1-v3
;with size larger than or equal to 10
setvli$10# Set vector length VL=10
vloadv1,a# 10 loads from a
vloadv2,b# 10 loads from b
vaddv3,v1,v2# 10 adds
vstorev3,c# 10 stores into c
ret

There are several savings inherent in this approach.[14]

  1. only three address translations are needed. Depending on the architecture, this can represent a significant savings by itself.
  2. Another saving is fetching and decoding the instruction itself, which has to be done only one time instead of ten.
  3. The code itself is also smaller, which can lead to more efficient memory use, reduction in L1 instruction cache size, reduction in power consumption.
  4. With the program size being reduced branch prediction has an easier job.
  5. With the length (equivalent to SIMD width) not being hard-coded into the instruction, not only is the encoding more compact, it's also "future-proof" and allows evenembedded processordesigns to consider using vectors purely to gain all the other advantages, rather than go for high performance.

Additionally, in more modern vector processor ISAs, "Fail on First" or "Fault First" has been introduced (see below) which brings even more advantages.

But more than that, a high performance vector processor may have multiplefunctional unitsadding those numbers in parallel. The checking of dependencies between those numbers is not required as a vector instruction specifies multiple independent operations. This simplifies the control logic required, and can further improve performance by avoiding stalls. The math operations thus completed far faster overall, the limiting factor being the time required to fetch the data from memory.

Not all problems can be attacked with this sort of solution. Including these types of instructions necessarily adds complexity to the core CPU. That complexity typically makesotherinstructions run slower—i.e., whenever it isnotadding up many numbers in a row. The more complex instructions also add to the complexity of the decoders, which might slow down the decoding of the more common instructions such as normal adding. (This can be somewhat mitigated by keeping the entire ISA toRISCprinciples: RVV only adds around 190 vector instructions even with the advanced features.[15])

Vector processors were traditionally designed to work best only when there are large amounts of data to be worked on. For this reason, these sorts of CPUs were found primarily insupercomputers,as the supercomputers themselves were, in general, found in places such as weather prediction centers and physics labs, where huge amounts of data are "crunched". However, as shown above and demonstrated by RISC-V RVV theefficiencyof vector ISAs brings other benefits which are compelling even for Embedded use-cases.

Vector instructions[edit]

The vector pseudocode example above comes with a big assumption that the vector computer can process more than ten numbers in one batch. For a greater quantity of numbers in the vector register, it becomes unfeasible for the computer to have a register that large. As a result, the vector processor either gains the ability to perform loops itself, or exposes some sort of vector control (status) register to the programmer, usually known as a vector Length.

The self-repeating instructions are found in early vector computers like the STAR-100, where the above action would be described in a single instruction (somewhat likevadd c, a, b, $10). They are also found in thex86architecture as theREPprefix. However, only very simple calculations can be done effectively in hardware this way without a very large cost increase. Since all operands have to be in memory for the STAR-100 architecture, the latency caused by access became huge too.

Interestingly, though, Broadcom included space in all vector operations of theVideocoreIV ISA for aREPfield, but unlike the STAR-100 which uses memory for its repeats, the Videocore IV repeats are on all operations including arithmetic vector operations. The repeat length can be a small range ofpower of twoor sourced from one of the scalar registers.[16]

TheCray-1introduced the idea of usingprocessor registersto hold vector data in batches. The batch lengths (vector length, VL) could be dynamically set with a special instruction, the significance compared to Videocore IV (and, crucially as will be shown below, SIMD as well) being that the repeat length does not have to be part of the instruction encoding. This way, significantly more work can be done in each batch; the instruction encoding is much more elegant and compact as well. The only drawback is that in order to take full advantage of this extra batch processing capacity, the memory load and store speed correspondingly had to increase as well. This is sometimes claimed[by whom?]to be a disadvantage of Cray-style vector processors: in reality it is part of achieving high performance throughput, as seen inGPUs,which face exactly the same issue.

Modern SIMD computers claim to improve on early Cray by directly using multiple ALUs, for a higher degree of parallelism compared to only using the normal scalar pipeline. Modern vector processors (such as theSX-Aurora TSUBASA) combine both, by issuing multiple data to multiple internal pipelined SIMD ALUs, the number issued being dynamically chosen by the vector program at runtime. Masks can be used to selectively load and store data in memory locations, and use those same masks to selectively disable processing element of SIMD ALUs. Some processors with SIMD (AVX-512,ARMSVE2) are capable of this kind of selective, per-element ("predicated") processing, and it is these which somewhat deserve the nomenclature "vector processor" or at least deserve the claim of being capable of "vector processing". SIMD processors without per-element predication (MMX,SSE,AltiVec) categorically do not.

Modern GPUs, which have many small compute units each with their own independent SIMD ALUs, useSingle Instruction Multiple Threads(SIMT). SIMT units run from a shared single broadcast synchronised Instruction Unit. The "vector registers" are very wide and the pipelines tend to be long. The "threading" part of SIMT involves the way data is handled independently on each of the compute units.

In addition, GPUs such as the BroadcomVideocoreIV and other external vector processors like theNEC SX-Aurora TSUBASAmay use fewer vector units than the width implies: instead of having 64 units for a 64-number-wide register, the hardware might instead do a pipelined loop over 16 units for a hybrid approach. The BroadcomVideocoreIV is also capable of this hybrid approach: nominally stating that its SIMD QPU Engine supports 16-long FP array operations in its instructions, it actually does them 4 at a time, as (another) form of "threads".[17]

Vector instruction example[edit]

This example starts with an algorithm ( "IAXPY" ), first show it in scalar instructions, then SIMD, then predicated SIMD, and finally vector instructions. This incrementally helps illustrate the difference between a traditional vector processor and a modern SIMD one. The example starts with a 32-bit integer variant of the "DAXPY" function, inC:

voidiaxpy(size_tn,inta,constintx[],inty[]){
for(size_ti=0;i<n;i++)
y[i]=a*x[i]+y[i];
}

In each iteration, every element of y has an element of x multiplied by a and added to it. The program is expressed in scalar linear form for readability.

Scalar assembler[edit]

The scalar version of this would load one of each of x and y, process one calculation, store one result, and loop:

loop:
load32r1,x;load one 32bit data
load32r2,y
mul32r1,a,r1;r1:= r1 * a
add32r3,r1,r2;r3:= r1 + r2
store32r3,y
addlx,x,$4;x:= x + 4
addly,y,$4
subln,n,$1;n:= n - 1
jgzn,loop;loop back if n > 0
out:
ret

The STAR-like code remains concise, but because the STAR-100's vectorisation was by design based around memory accesses, an extra slot of memory is now required to process the information. Two times the latency is also needed due to the extra requirement of memory access.

;Assume tmp is pre-allocated
vmultmp,a,x,n;tmp[i] = a * x[i]
vaddy,y,tmp,n;y[i] = y[i] + tmp[i]
ret

Pure (non-predicated, packed) SIMD[edit]

A modern packed SIMD architecture, known by many names (listed inFlynn's taxonomy), can do most of the operation in batches. The code is mostly similar to the scalar version. It is assumed that both x and y areproperly alignedhere (only start on a multiple of 16) and that n is a multiple of 4, as otherwise some setup code would be needed to calculate a mask or to run a scalar version. It can also be assumed, for simplicity, that the SIMD instructions have an option to automatically repeat scalar operands, like ARM NEON can.[18]If it does not, a "splat" (broadcast) must be used, to copy the scalar argument across a SIMD register:

splatx4v4,a;v4 = a,a,a,a

The time taken would be basically the same as a vector implementation ofy = mx + cdescribed above.

vloop:
load32x4v1,x
load32x4v2,y
mul32x4v1,a,v1;v1:= v1 * a
add32x4v3,v1,v2;v3:= v1 + v2
store32x4v3,y
addlx,x,$16;x:= x + 16
addly,y,$16
subln,n,$4;n:= n - 4
jgzn,vloop;go back if n > 0
out:
ret

Note that both x and y pointers are incremented by 16, because that is how long (in bytes) four 32-bit integers are. The decision was made that the algorithmshallonly cope with 4-wide SIMD, therefore the constant is hard-coded into the program.

Unfortunately for SIMD, the clue was in the assumption above, "that n is a multiple of 4" as well as "aligned access", which, clearly, is a limited specialist use-case.

Realistically, for general-purpose loops such as in portable libraries, where n cannot be limited in this way, the overhead of setup and cleanup for SIMD in order to cope with non-multiples of the SIMD width, can far exceed the instruction count inside the loop itself. Assuming worst-case that the hardware cannot do misaligned SIMD memory accesses, a real-world algorithm will:

  • first have to have a preparatory section which works on the beginning unaligned data, up to the first point where SIMD memory-aligned operations can take over. this will either involve (slower) scalar-only operations or smaller-sized packed SIMD operations. Each copy implements the full algorithm inner loop.
  • perform the aligned SIMD loop at the maximum SIMD width up until the last few elements (those remaining that do not fit the fixed SIMD width)
  • have a cleanup phase which, like the preparatory section, is just as large and just as complex.

Eight-wide SIMD requires repeating the inner loop algorithm first with four-wide SIMD elements, then two-wide SIMD, then one (scalar), with a test and branch in between each one, in order to cover the first and last remaining SIMD elements (0 <= n <= 7).

This more thantriplesthe size of the code, in fact in extreme cases it results in anorder of magnitudeincrease in instruction count! This can easily be demonstrated by compiling the iaxpy example forAVX-512,using the options"-O3 -march=knl"togcc.

Over time as the ISA evolves to keep increasing performance, it results in ISA Architects adding 2-wide SIMD, then 4-wide SIMD, then 8-wide and upwards. It can therefore be seen whyAVX-512exists in x86.

Without predication, the wider the SIMD width the worse the problems get, leading to massive opcode proliferation, degraded performance, extra power consumption and unnecessary software complexity.[19]

Vector processors on the other hand are designed to issue computations of variable length for an arbitrary count, n, and thus require very little setup, and no cleanup. Even compared to those SIMD ISAs which have masks (but nosetvlinstruction), Vector processors produce much more compact code because they do not need to perform explicit mask calculation to cover the last few elements (illustrated below).

Predicated SIMD[edit]

Assuming a hypothetical predicated (mask capable) SIMD ISA, and again assuming that the SIMD instructions can cope with misaligned data, the instruction loop would look like this:

vloop:
# prepare mask. few ISAs have min though
mint0,n,$4;t0 = min(n, 4)
shiftm,$1,t0;m = 1<<t0
subm,m,$1;m = (1<<t0)-1
# now do the operation, masked by m bits
load32x4v1,x,m
load32x4v2,y,m
mul32x4v1,a,v1,m;v1:= v1 * a
add32x4v3,v1,v2,m;v3:= v1 + v2
store32x4v3,y,m
# update x, y and n for next loop
addlx,t0*4;x:= x + t0*4
addly,t0*4
subln,n,t0;n:= n - t0
# loop?
jgzn,vloop;go back if n > 0
out:
ret

Here it can be seen that the code is much cleaner but a little complex: at least, however, there is no setup or cleanup: on the last iteration of the loop, the predicate mask wil be set to either 0b0000, 0b0001, 0b0011, 0b0111 or 0b1111, resulting in between 0 and 4 SIMD element operations being performed, respectively. One additional potential complication: some RISC ISAs do not have a "min" instruction, needing instead to use a branch or scalar predicated compare.

It is clear how predicated SIMD at least merits the term "vector capable", because it can cope with variable-length vectors by using predicate masks. The final evolving step to a "true" vector ISA, however, is to not have any evidence in the ISAat allof a SIMD width, leaving that entirely up to the hardware.

Pure (true) vector ISA[edit]

For Cray-style vector ISAs such as RVV, an instruction called "setvl" (set vector length) is used. The hardware first defines how many data values it can process in one "vector": this could be either actual registers or it could be an internal loop (the hybrid approach, mentioned above). This maximum amount (the number of hardware "lanes" ) is termed "MVL" (Maximum Vector Length). Note that, as seen in SX-Aurora and Videocore IV, MVL may be an actual hardware lane quantityor a virtual one.(Note: As mentioned in the ARM SVE2 Tutorial, programmersmustnot make the mistake of assuming a fixed vector width: consequently MVL is not a quantity that the programmer needs to know. This can be a little disconcerting after years of SIMD mindset).[tone]

On calling setvl with the number of outstanding data elements to be processed, "setvl" is permitted (essentially required) to limit that to the Maximum Vector Length (MVL) and thus returns theactualnumber that can be processed by the hardware in subsequent vector instructions, and sets the internal special register, "VL", to that same amount. ARM refers to this technique as "vector length agnostic" programming in its tutorials on SVE2.[20]

Below is the Cray-style vector assembler for the same SIMD style loop, above. Note that t0 (which, containing a convenient copy of VL, can vary) is used instead of hard-coded constants:

vloop:
setvlt0,n# VL=t0=min(MVL, n)
vld32v0,x# load vector x
vld32v1,y# load vector y
vmadd32v1,v0,a# v1 += v0 * a
vst32v1,y# store Y
addy,t0*4# advance y by VL*4
addx,t0*4# advance x by VL*4
subn,t0# n -= VL (t0)
bnezn,vloop# repeat if n!= 0

This is essentially not very different from the SIMD version (processes 4 data elements per loop), or from the initial Scalar version (processes just the one). n still contains the number of data elements remaining to be processed, but t0 contains the copy of VL – the number that isgoingto be processed in each iteration. t0 is subtracted from n after each iteration, and if n is zero then all elements have been processed.

A number of things to note, when comparing against the Predicated SIMD assembly variant:

  1. Thesetvlinstruction has embedded within it amininstruction
  2. Where the SIMD variant hard-coded both the width (4) into the creation of the maskandin the SIMD width (load32x4 etc.) the vector ISA equivalents have no such limit. This makes vector programs both portable, Vendor Independent, and future-proof.
  3. Setting VL effectivelycreates a hidden predicate maskthat is automatically applied to the vectors
  4. Where with predicated SIMD the mask bitlength is limited to that which may be held in a scalar (or special mask) register, vector ISA's mask registers have no such limitation. Cray-I vectors could be just over 1,000 elements (in 1977).

Thus it can be seen, very clearly, how vector ISAs reduce the number of instructions.

Also note, that just like the predicated SIMD variant, the pointers to x and y are advanced by t0 times four because they both point to 32 bit data, but that n is decremented by straight t0. Compared to the fixed-size SIMD assembler there is very little apparent difference: x and y are advanced by hard-coded constant 16, n is decremented by a hard-coded 4, so initially it is hard to appreciate the significance. The difference comes in the realisation that the vector hardware could be capable of doing 4 simultaneous operations, or 64, or 10,000, it would be the exact same vector assembler for all of themand there would still be no SIMD cleanup code.Even compared to the predicate-capable SIMD, it is still more compact, clearer, more elegant and uses less resources.

Not only is it a much more compact program (saving on L1 Cache size), but as previously mentioned, the vector version can issue far more data processing to the ALUs, again saving power because Instruction Decode and Issue can sit idle.

Additionally, the number of elements going in to the function can start at zero. This sets the vector length to zero, which effectively disables all vector instructions, turning them intono-ops,at runtime. Thus, unlike non-predicated SIMD, even when there are no elements to process there is still no wasted cleanup code.

Vector reduction example[edit]

This example starts with an algorithm which involves reduction. Just as with the previous example, it will be first shown in scalar instructions, then SIMD, and finally vector instructions, starting inc:

void(size_tn,inta,constintx[]){
inty=0;
for(size_ti=0;i<n;i++)
y+=x[i];
returny;
}

Here, an accumulator (y) is used to sum up all the values in the array, x.

Scalar assembler[edit]

The scalar version of this would load each of x, add it to y, and loop:

sety,0;y initialised to zero
loop:
load32r1,x;load one 32bit data
add32y,y,r1;y:= y + r1
addlx,x,$4;x:= x + 4
subln,n,$1;n:= n - 1
jgzn,loop;loop back if n > 0
out:
rety;returns result, y

This is very straightforward. "y" starts at zero, 32 bit integers are loaded one at a time into r1, added to y, and the address of the array "x" moved on to the next element in the array.

SIMD reduction[edit]

This is where the problems start. SIMD by design is incapable of doing arithmetic operations "inter-element". Element 0 of one SIMD register may be added to Element 0 of another register, but Element 0 maynotbe added to anythingotherthan another Element 0. This places some severe limitations on potential implementations. For simplicity it can be assumed that n is exactly 8:

addlr3,x,$16;for 2nd 4 of x
load32x4v1,x;first 4 of x
load32x4v2,r3;2nd 4 of x
add32x4v1,v2,v1;add 2 groups

At this point four adds have been performed:

  • x[0]+x[4]- First SIMD ADD: element 0 of first group added to element 0 of second group
  • x[1]+x[5]- Second SIMD ADD: element 1 of first group added to element 1 of second group
  • x[2]+x[6]- Third SIMD ADD: element 2 of first group added to element 2 of second group
  • x[3]+x[7]- Fourth SIMD ADD: element 3 of first group added to element 2 of second group

but with 4-wide SIMD being incapableby designof addingx[0]+x[1]for example, things go rapidly downhill just as they did with the general case of using SIMD for general-purpose IAXPY loops. To sum the four partial results, two-wide SIMD can be used, followed by a single scalar add, to finally produce the answer, but, frequently, the data must be transferred out of dedicated SIMD registers before the last scalar computation can be performed.

Even with a general loop (n not fixed), the only way to use 4-wide SIMD is to assume four separate "streams", each offset by four elements. Finally, the four partial results have to be summed. Other techniques involve shuffle: examples online can be found forAVX-512of how to do "Horizontal Sum"[21][22]

Aside from the size of the program and the complexity, an additional potential problem arises if floating-point computation is involved: the fact that the values are not being summed in strict order (four partial results) could result in rounding errors.

Vector ISA reduction[edit]

Vector instruction sets have arithmetic reduction operationsbuilt-into the ISA. If it is assumed that n is less or equal to the maximum vector length, only three instructions are required:

setvlt0,n# VL=t0=min(MVL, n)
vld32v0,x# load vector x
vredadd32y,v0# reduce-add into y

The code when n is larger than the maximum vector length is not that much more complex, and is a similar pattern to the first example ( "IAXPY" ).

sety,0
vloop:
setvlt0,n# VL=t0=min(MVL, n)
vld32v0,x# load vector x
vredadd32y,y,v0# add all x into y
addx,t0*4# advance x by VL*4
subn,t0# n -= VL (t0)
bnezn,vloop# repeat if n!= 0
rety

The simplicity of the algorithm is stark in comparison to SIMD. Again, just as with the IAXPY example, the algorithm is length-agnostic (even on Embedded implementations where maximum vector length could be only one).

Implementations in hardware may, if they are certain that the right answer will be produced, perform the reduction in parallel. Some vector ISAs offer a parallel reduction mode as an explicit option, for when the programmer knows that any potential rounding errors do not matter, and low latency is critical.[23]

This example again highlights a key critical fundamental difference between true vector processors and those SIMD processors, including most commercial GPUs, which are inspired by features of vector processors.

Insights from examples[edit]

Compared to any SIMD processor claiming to be a vector processor, the order of magnitude reduction in program size is almost shocking. However, this level of elegance at the ISA level has quite a high price tag at the hardware level:

  1. From the IAXPY example, it can be seen that unlike SIMD processors, which can simplify their internal hardware by avoiding dealing with misaligned memory access, a vector processor cannot get away with such simplification: algorithms are written which inherently rely on Vector Load and Store being successful, regardless of alignment of the start of the vector.
  2. Whilst from the reduction example it can be seen that, aside frompermute instructions,SIMD by definition avoids inter-lane operations entirely (element 0 can only be added to another element 0), vector processors tackle this head-on. What programmers are forced to do in software (using shuffle and other tricks, to swap data into the right "lane" ) vector processors must do in hardware, automatically.

Overall then there is a choice to either have

  1. complex software and simplified hardware (SIMD)
  2. simplified software and complex hardware (vector processors)

These stark differences are what distinguishes a vector processor from one that has SIMD.

Vector processor features[edit]

Where many SIMD ISAs borrow or are inspired by the list below, typical features that a vector processor will have are:[24][25][26]

  • Vector Load and Store– Vector architectures with a register-to-register design (analogous to load–store architectures for scalar processors) have instructions for transferring multiple elements between the memory and the vector registers. Typically, multiple addressing modes are supported. The unit-stride addressing mode is essential; modern vector architectures typically also support arbitrary constant strides, as well as the scatter/gather (also calledindexed) addressing mode. Advanced architectures may also include support forsegmentload and stores, andfail-firstvariants of the standard vector load and stores. Segment loads read a vector from memory, where each element is adata structurecontaining multiple members. The members are extracted from data structure (element), and each extracted member is placed into a different vector register.
  • Masked Operationspredicate masksallow parallel if/then/else constructs without resorting to branches. This allows code with conditional statements to be vectorized.
  • Compress and Expand– usually using a bit-mask, data is linearly compressed or expanded (redistributed) based on whether bits in the mask are set or clear, whilst always preserving the sequential order and never duplicating values (unlike Gather-Scatter aka permute). These instructions feature inAVX-512.
  • Register Gather, Scatter (aka permute)[27]– a less restrictive more generic variation of the compress/expand theme which instead takes one vector to specify the indices to use to "reorder" another vector. Gather/scatter is more complex to implement than compress/expand, and, being inherently non-sequential, can interfere withvector chaining.Not to be confused withGather-scatterMemory Load/Store modes, Gather/scatter vector operations act on the vector registers, and are often termed apermute instructioninstead.
  • Splat and Extract– useful for interaction between scalar and vector, these broadcast a single value across a vector, or extract one item from a vector, respectively.
  • Iota– a very simple and strategically useful instruction which drops sequentially-incrementing immediates into successive elements. Usually starts from zero.
  • Reduction andIteration– operations that performmapreduceon a vector (for example, find the one maximum value of an entire vector, or sum all elements). Iteration is of the formx[i] = y[i] + x[i-1]where Reduction is of the formx = y[0] + y[1]… + y[n-1]
  • Matrix Multiply support– either by way of algorithmically loading data from memory, or reordering (remapping) the normally linear access to vector elements, or providing "Accumulators", arbitrary-sized matrices may be efficiently processed. IBM POWER10 provides MMA instructions[28]although for arbitrary Matrix widths that do not fit the exact SIMD size data repetition techniques are needed which is wasteful of register file resources.[29][30]NVidia provides a high-level MatrixCUDAAPI although the internal details are not available.[31]The most resource-efficient technique is in-place reordering of access to otherwise linear vector data.
  • Advanced Math formats– often includesGalois fieldarithmetic, but can includebinary-coded decimalor decimal fixed-point, and support for much larger (arbitrary precision) arithmetic operations by supporting parallel carry-in and carry-out
  • Bit manipulation– including vectorised versions of bit-level permutation operations, bitfield insert and extract, centrifuge operations, population count, andmany others.

GPU vector processing features[edit]

With many 3Dshaderapplications needingtrigonometricoperations as well as short vectors for common operations (RGB, ARGB, XYZ, XYZW) support for the following is typically present in modern GPUs, in addition to those found in vector processors:

  • Sub-vectors– elements may typically contain two, three or four sub-elements (vec2, vec3, vec4) where any given bit of a predicate mask applies to the whole vec2/3/4, not the elements in the sub-vector. Sub-vectors are also introduced in RISC-V RVV (termed "LMUL" ).[32]Subvectors are a critical integral part of theVulkanSPIR-Vspec.
  • Sub-vector Swizzle– aka "Lane Shuffling" which allows sub-vector inter-element computations without needing extra (costly, wasteful) instructions to move the sub-elements into the correct SIMD "lanes" and also saves predicate mask bits. Effectively this is an in-flightmini-permuteof the sub-vector, heavily features in 3D Shader binaries, and is sufficiently important as to be part of theVulkanSPIR-Vspec. The BroadcomVideocoreIV uses the terminology "Lane rotate"[33]where the rest of the industry uses the term"swizzle".[34]
  • Transcendentalstrigonometricoperations such assine,cosineandlogarithmobviously feature much more predominantly in 3D than in many demandingHPCworkloads. Of interest, however, is that speed is far more important than accuracy in 3D for GPUs, where computation of pixel coordinates simply do not require high precision. TheVulkanspecification recognises this and sets surprisingly low accuracy requirements, so that GPU Hardware can reduce power usage. The concept of reducing accuracy where it is simply not needed is explored in theMIPS-3Dextension.

Fault (or Fail) First[edit]

Introduced in ARM SVE2 and RISC-V RVV is the concept of speculative sequential Vector Loads. ARM SVE2 has a special register named "First Fault Register",[35]where RVV modifies (truncates) the Vector Length (VL).[36]

The basic principle of ffirst is to attempt a large sequential Vector Load, but to allow the hardware to arbitrarily truncate theactualamount loaded to either the amount that would succeed without raising a memory fault or simply to an amount (greater than zero) that is most convenient. The important factor is thatsubsequentinstructions are notified or may determine exactly how many Loads actually succeeded, using that quantity to only carry out work on the data that has actually been loaded.

Contrast this situation with SIMD, which is a fixed (inflexible) load width and fixed data processing width, unable to cope with loads that cross page boundaries, and even if they were they are unable to adapt to what actually succeeded, yet, paradoxically, if the SIMD program were to even attempt to find out in advance (in each inner loop, every time) what might optimally succeed, those instructions only serve to hinder performance because they would, by necessity, be part of the critical inner loop.

This begins to hint at the reason why ffirst is so innovative, and is best illustrated by memcpy or strcpy when implemented with standard 128-bit non-predicated non-ffirst SIMD. For IBM POWER9 the number of hand-optimised instructions to implement strncpy is in excess of 240.[37] By contrast, the same strncpy routine in hand-optimised RVV assembler is a mere 22 instructions.[38]

The above SIMD example could potentially fault and fail at the end of memory, due to attempts to read too many values: it could also cause significant numbers of page or misaligned faults by similarly crossing over boundaries. In contrast, by allowing the vector architecture the freedom to decide how many elements to load, the first part of a strncpy, if beginning initially on a sub-optimal memory boundary, may return just enough loads such that onsubsequentiterations of the loop the batches of vectorised memory reads are optimally aligned with the underlying caches and virtual memory arrangements. Additionally, the hardware may choose to use the opportunity to end any given loop iteration's memory readsexactlyon a page boundary (avoiding a costly second TLB lookup), with speculative execution preparing the next virtual memory page whilst data is still being processed in the current loop. All of this is determined by the hardware, not the program itself.[39]

Performance and speed up[edit]

Letrbe the vector speed ratio andfbe the vectorization ratio. If the time taken for the vector unit to add an array of 64 numbers is 10 times faster than its equivalent scalar counterpart, r = 10. Also, if the total number of operations in a program is 100, out of which only 10 are scalar (after vectorization), then f = 0.9, i.e., 90% of the work is done by the vector unit. It follows the achievable speed up of:

So, even if the performance of the vector unit is very high () there is a speedup less than,which suggests that the ratiofis crucial to the performance. This ratio depends on the efficiency of the compilation like adjacency of the elements in memory.

See also[edit]

References[edit]

  1. ^Parkinson, Dennis (17 June 1976)."Computers by the thousand".New Scientist.pp. 626–627.Retrieved7 July2024.
  2. ^B.N. Malinovsky (1995).The history of computer technology in their faces (in Russian).KIT.ISBN5770761318.
  3. ^MIAOW Vertical Research Group
  4. ^MIAOW GPU
  5. ^"Andes Announces RISC-V Multicore 1024-bit Vector Processor: AX45MPV"(Press release). GlobeNewswire. 7 December 2022.Retrieved23 December2022.
  6. ^Miyaoka, Y.; Choi, J.; Togawa, N.; Yanagisawa, M.; Ohtsuki, T. (2002).An algorithm of hardware unit generation for processor core synthesis with packed SIMD type instructions.Asia-Pacific Conference on Circuits and Systems. Vol. 1. pp. 171–176.doi:10.1109/APCCAS.2002.1114930.hdl:2065/10689.
  7. ^"Riscv-v-spec/V-spec.adoc at master · riscv/Riscv-v-spec".GitHub.16 June 2023.
  8. ^"Vector Engine Assembly Language Reference Manual"(PDF).16 June 2023.
  9. ^"Documentation – Arm Developer".
  10. ^"Vector Architecture".27 April 2020.
  11. ^Vector and SIMD processors, slides 12-13
  12. ^Array vs Vector Processing, slides 5-7
  13. ^SIMD vs Vector GPU, slides 22-24
  14. ^Patterson, David A.;Hennessy, John L.(1998).Computer Organization and Design: the Hardware/Software Interface page 751-2(2nd ed.). Morgan Kaufmann. p.751-2.ISBN155860491X.
  15. ^"Riscv-v-spec/V-spec.adoc at master · riscv/Riscv-v-spec".GitHub.19 November 2022.
  16. ^Videocore IV Programmer's Manual
  17. ^Videocore IV QPU analysis by Jeff Bush
  18. ^"Coding for Neon - Part 3 Matrix Multiplication".11 September 2013.
  19. ^SIMD considered harmful
  20. ^ARM SVE2 tutorial
  21. ^"Sse - 1-to-4 broadcast and 4-to-1 reduce in AVX-512".
  22. ^"Assembly - Fastest way to do horizontal SSE vector sum (Or other reduction)".
  23. ^"Riscv-v-spec/V-spec.adoc at master · riscv/Riscv-v-spec".GitHub.19 November 2022.
  24. ^Cray Overview
  25. ^RISC-V RVV ISA
  26. ^SX-Arora Overview
  27. ^RVV register gather-scatter instructions
  28. ^"IBM's POWER10 Processor - William Starke & Brian W. Thompto, IBM".YouTube.Archivedfrom the original on 2021-12-11.
  29. ^Moreira, José E.; Barton, Kit; Battle, Steven; Bergner, Peter; Bertran, Ramon; Bhat, Puneeth; Caldeira, Pedro; Edelsohn, David; Fossum, Gordon; Frey, Brad; Ivanovic, Nemanja; Kerchner, Chip; Lim, Vincent; Kapoor, Shakti; Tulio Machado Filho; Silvia Melitta Mueller; Olsson, Brett; Sadasivam, Satish; Saleil, Baptiste; Schmidt, Bill; Srinivasaraghavan, Rajalakshmi; Srivatsan, Shricharan; Thompto, Brian; Wagner, Andreas; Wu, Nelson (2021). "A matrix math facility for Power ISA(TM) processors".arXiv:2104.03142[cs.AR].
  30. ^Krikelis, Anargyros (1996)."A Modular Massively Parallel Processor for Volumetric Visualisation Processing".High Performance Computing for Computer Graphics and Visualisation.pp. 101–124.doi:10.1007/978-1-4471-1011-8_8.ISBN978-3-540-76016-0.
  31. ^"CUDA C++ Programming Guide".
  32. ^LMUL > 1 in RVV
  33. ^Abandoned US patent US20110227920-0096
  34. ^Videocore IV QPU
  35. ^Introduction to ARM SVE2
  36. ^RVV fault-first loads
  37. ^PATCH to libc6 to add optimised POWER9 strncpy
  38. ^RVV strncpy example
  39. ^ARM SVE2 paper by N. Stevens