0
  • Liêu thiên tiêu tức
  • Hệ thống tiêu tức
  • Bình luận dữ hồi phục
Đăng lục hậu nhĩ khả dĩ
  • Hạ tái hải lượng tư liêu
  • Học tập tại tuyến khóa trình
  • Quan khán kỹ thuật thị tần
  • Tả văn chương / phát thiếp / gia nhập xã khu
Hội viên trung tâm
Sang tác trung tâm

Hoàn thiện tư liêu nhượng canh đa tiểu hỏa bạn nhận thức nhĩ, hoàn năng lĩnh thủ20Tích phân nga,Lập tức hoàn thiện >

3 thiên nội bất tái đề kỳ

vcs học tập bút ký ( thường dụng tuyển hạng / phảng chân lưu trình / đại mã phúc cái suất / tổng hợp hậu phảng chân / đồ nhất nhạc kỹ xảo )

Lộ khoa nghiệm chứng Lai nguyên: Sổ tự ICer Tác giả: Sổ tự ICer 2022-05-23 16:04 Thứ duyệt độc

Bổn tiết chủ yếu giới thiệu:
vcs thường dụng tuyển hạng
vcsPhảng chânLưu trình
vcs đại mã phúc cái suất
vcs tổng hợp hậu phảng chân
Đồ nhất nhạc kỹ xảo

VCS thị biên dịch hìnhverilogPhảng chân khí,VCS tiên tương verilog/systemverilog văn kiện chuyển hóa vi C văn kiện, tạilinuxHạ biên dịch sinh thành đích khả chấp hành văn./simv tức khả đắc đáo phảng chân kết quả.

bc421940-da5c-11ec-ba43-dac502259ad0.png

vcs biên dịch hậu, sinh thành khả chấp hành nhị tiến chế văn kiện simv: Chấp hành./simv tiến hành phảng chân;

vcs thường dụng tuyển hạng

  • vcs -help: Liệt xuất sở hữu vcs biên dịch vận hành tuyển hạng
  • -Mupdate: Tăng lượng biên dịch
  • -R: Biên dịch hậu lập tức chấp hành phảng chân
  • -l: Thâu xuất biên dịch log đích văn kiện
  • -sverilog: Chi trì systemverilog
  • +v2k: Chi trì 2001 verilog tiêu chuẩn
  • -f: Chỉ định bao hàm văn kiện liệt biểu đích filelist
  • -o: Tu cải khả chấp hành văn kiện simv văn kiện danh
  • -full64: Chi trì 64 vị mô thức hạ đích biên dịch phảng chân
  • -fsdb: dump fsdb ba hình
  • -ucli: Tại UCLI mệnh lệnh hành mô thức hạ chấp hành simv
  • -gui: DVE giới diện vận hành
  • -work library: Tương thiết kế khố danh xưng ánh xạ đáo tiếp thu vlogan thâu xuất đích la tập khố danh xưng work
  • -v lib_flie: Sưu tác chỉ định lib khố văn kiện
  • -y lib_dir: Sưu tác chỉ định lib khố lộ kính
  • +libext+ext: Sưu tác cụ hữu chỉ định văn kiện khoách triển danh đích văn kiện, như +libext+.v +.sv
  • +incdir+directory: Sưu tác chỉ định include văn kiện lộ kính
  • +define+macro: Sử dụng nguyên đại mã trung `ifdef sở định nghĩa đích hoành hoặc giả define nguyên đại mã trung đích hoành
  • -parametersfilename: Tương filename văn kiện trung chỉ định đíchTham sổCanh cải vi thử thời chỉ định đích trị
  • -timescale=1ns/1ns: Thiết trí phảng chân tinh độ
  • -debug, debug_all, debug_pp: Đả khai debug khai quan

vcs phảng chân lưu trình

Biên tả makefie cước bổn vận hành phảng chân:

bc5ce7de-da5c-11ec-ba43-dac502259ad0.pngmakefie

Chấp hành hạ diện biên dịch phảng chân:

makevcs
makesim

1.DVE đồ hình giới diện đả khai

Tại biên dịch thời, sử dụng +vpdfile+filename khả dĩ canh cải sinh thành VPD văn kiện đích văn kiện danh, mặc nhận vi vpdplus.vpd.

makefile trung thiêm gia:

ALL_DEFINE=+define+DUMP_VPD
VPD_NAME=+vpdfile+simv.vpd

Phảng chân văn kiện tb.v trung thiêm gia:

`ifdefDUMP_VPD
initialbegin
$display("DumpVPDwave!");
$vcdpluson();
//$vcdpluson(0,tb);// ký lục tb cập kỳ sở hữu tử mô khối đích ba hình.
//$vcdpluson(1,tb ); // chỉ ký lục tb tằng đích ba hình
//$vcdpluson(2,tb ); // ký lục tb tằng hòa tb hạ nhất tằng đích ba hình
end
`endif

Tại phảng chân hoàn thành hậu, sinh thành liễu simv.vpd giá cá văn kiện, giá cá văn kiện ký lục liễu phảng chân quá trình trung sở hữuTín hàoĐích ba hình,

Khả dĩ sử dụng dve đả khai:

dve-vpdsimv.vpd&
bc7f759c-da5c-11ec-ba43-dac502259ad0.png

Tuyển trung sở hữu tín hào --> hữu kiệnAdd to Waves --> New Wave View

bcb9d3c2-da5c-11ec-ba43-dac502259ad0.png

2.verdi đồ hình giới diện đả khai

Thông thường sử dụng VCS sinh thành fsdb cách thức đích ba hình văn kiện, tương kỳ đạo nhập lánh nhất cá nhuyễn kiện Verdi tra khán ba hình, đại thế DVE tiến hành liên hợp phảng chân;

vcs tuyển hạng gia thượng -fsdb, phảng chân văn kiện tb.v trung thiêm gia:

initialbegin
$display("Dumpfsdbwave!");
$fsdbDumpfile("tb.fsdb");
$fsdbDumpvars;
end

Phảng chân hoàn thành hậu chấp hành hạ diện mệnh lệnh đả khai verdi:

makeverdi

Thôi tiếnTra khánverdi thật dụng kỹ xảoHòafsdb thật dụng kỹ xảo

Đại mã phúc cái suất

Tại nhất cáTâm phiếnNghiệm chứng đích công trình trung, thông thường dĩ đại mã phúc cái suất hòa công năng phúc cái suất lai thể hiện nghiệm chứng thị phủ hoàn bị;

Công năng phúc cái suất tựu thị kiểm tra thiết kế đích công năng thị phủ hoàn thiện, nhu yếu khảo lự ngận đa bất đồng đích tình huống, thị sử dụng SV đích trọng điểm nội dung.

Đại mã phúc cái suất thị kiểm tra đại mã thị phủ tồn tại nhũng dư, kiểm tra sở hữu đích đại mã thị phủ đô dĩ kinh chấp hành, bao quát: Hành, trạng thái cơ, phiên chuyển, điều kiện, phân chi phúc cái suất đẳng, giá lí chỉ thảo luận đại mã phúc cái suất.

VCS tại thống kế đại mã phúc cái suất đích quá trình trung, nhu yếu tại biên dịch hòa phảng chân mệnh lệnh thượng thiêm gia đối ứng đích khai quan tuyển hạng, sinh thành.vdb văn kiện ký lục phúc cái suất tình huống.

Tái sử dụng dve đả khai cai văn kiện tiến hành tra khán phúc cái suất.

Đại mã phúc cái suất tuyển hạng

bccedf10-da5c-11ec-ba43-dac502259ad0.png
  • -cm:Đả khai đối ứng loại hình phúc cái suất, lệ như -cm cond+tgl+lin+fsm+path vi thống kế sở hữu phúc cái suất.
  • -cm_name: Thống kế phúc cái suất văn kiện danh tự.
  • -cm_dir: Chỉ định sinh thành.vdb văn kiện mục lục.
  • -cm_log+filename.log: Ký lục phảng chân quá trình trung logTín tức.
  • -cm_nocasedef: Tại thống kế case ngữ cú đích điều kiện phúc cái suất thời, bất khảo lự default điều kiện vị đạt đáo đích tình huống.
  • -cm_hier xxx.cfg: Thông quá.cfg văn kiện tuyển trạch yếu tra khán phúc cái suất đích mô khối hoặc văn kiện.

Thông quá DVE tra khán phúc cái suất ( phương pháp 1 )

Phảng chân hoàn thành hậu chấp hành hạ diện mệnh lệnhdve -covdir *.vdb &Đả khai dve tra khán phúc cái suất:

makedve_cov

Đại mã phúc cái đáo đích vi lục sắc, một hữu phúc cái đáo đích vi hồng sắc:

bceada26-da5c-11ec-ba43-dac502259ad0.png

Thông quá URG tra khán phúc cái suất ( phương pháp 2 )

Khả dĩ dĩ văn bổn cách thức hoặc giả võng hiệt cách thức hiển kỳ phúc cái suất;

bd33cf4c-da5c-11ec-ba43-dac502259ad0.png

Hoặc giả:

urg-dir*.vdb-reporturgReport

Tiến nhập urgReport mục lục, chấp hành hạ diện mệnh lệnh đả khai võng hiệt bản, tra khán phúc cái suất báo cáo

firefox*.html
bd54e2ae-da5c-11ec-ba43-dac502259ad0.png

Tổng hợp hậu phảng chân

Thôi tiến tra khánTổng hợp dữ thời tự phân tích

Thôi tiến tra khánHậu phảng cập phản tiêu

vcs môn cấp võng biểu phảng chân

Đương RTL công năng phảng chân thông quá chi hậu,DCCông cụ trung tiến hành la tập tổng hợp, tại la tập tổng hợp hoàn thành chi hậu, nhu yếu đối tổng hợp sinh thành đích võng biểu tái tiến hành phảng chân nghiệm chứng.

Tổng hợp hậu bao hàm điện lộ đích thật tế tín tức, như ánh xạ đích môn điện lộ tín tức, ký sinh tham sổ,.v đích võng biểu, SDF tiêu chuẩn diên thời tín tức, SDC ước thúc, công tác điều kiện đẳng tín tức.

Hậu phảng tuyển hạng

Hậu phảng bất nhu yếu đích tuyển hạng

Đái thời tự đích hậu phảng, nhất định yếu chú ý phảng chân khí thị phủ quan bế liễu notimingcheck hòa nospecify đích tuyển hạng.

Như quả hữu -nospecify, na ma SDF trung đích thời tự tín tức tựu phản tiêu bất đáo phảng chân mô hình trung;

Như quả hữu -notimingcheck, na ma hậu phảng quá trình trung tựu bất kiểm tra thời tự vi lệ, hậu phảng tựu thất khứ liễu ý nghĩa.

Hậu phảng thiêm gia tuyển hạng

  • +neg_tchk: Nhược yếu sử dụng phụ diên thời kiểm tra, tại biên dịch hậu phảng thời tất tu bao hàm +neg_tchk tuyển hạng. Như quả tỉnh lược thử tuyển hạng, VCS tương sở hữu phụ diên trì canh cải vi 0.
  • -negdelay: Dụng vu SDF văn kiện trung hữu phụ diên trì, như quả tỉnh lược thử tuyển hạng, VCS tương sở hữu phụ diên trì canh cải vi 0.

sdf_annotate phản tiêu

Sử dụng $sdf_annotate tương SDF văn kiện phản tiêu đáo võng biểu trung:

$sdf_annotate("sdf_file"[,module_instance][,"sdf_configfile"][,"sdf_logfile"][,"mtm_spec"][,"scale_factors"][,"scale_type"]);

Tại tb trung gia tái sdf văn kiện, chỉ định phản tiêu mô khối:

`ifdefGLS_SIM
initialbegin
$sdf_annotate("../../netlist_sim/sdf/TOP.sdf",tb.U_TOP,,"sdf.log","TYPICAL ");
end
`endif

Tu cải makefie cước bổn vận hành phảng chân:

LIB_NET_FILE chỉ định đích thị cơ bổn đích công nghệ khố đan nguyên, nhân vi võng biểu văn kiện netlist_TOP.v trung bao quát tổng hợp hậu đích do môn điện lộ hòa xúc phát khí đẳng lệ hóa hình thành đích verilog văn kiện, sở dĩ nhu yếu cơ bổn đích công nghệ khố đan nguyên.

bd7860da-da5c-11ec-ba43-dac502259ad0.pngmakefile

rtl phảng chân hòa netlist phảng chân kết quả đối bỉ:

Khả dĩ khán đáo netlist phảng chân đích thâu xuất tín hào tồn tại nhất ta mao thứ cập diên trì, dữ lý tưởng đích một hữu diên trì tín tức đích rtl phảng chân bất đồng.

bde84c38-da5c-11ec-ba43-dac502259ad0.pngrtl phảng chânbe13ac5c-da5c-11ec-ba43-dac502259ad0.pngnetlist phảng chân

Đồ nhất nhạc kỹ xảo

display đả ấn thải sắc tự / bối cảnh

Khả tại phảng chân trung gia nhập nhan sắc hiển kỳ case đích tình huống, như:

be64ab52-da5c-11ec-ba43-dac502259ad0.pngbe8b1ed6-da5c-11ec-ba43-dac502259ad0.png

Hồng sắc: Tiền cảnh sắc 31, bối cảnh sắc 41:

$display("33[31;41mHelloerror!33[0m");

Lục sắc: Tiền cảnh sắc 32, bối cảnh sắc 42:

$display("33[32;42mHellopass!33[0m");

Hoàng sắc: Tiền cảnh sắc 33, bối cảnh sắc 43:

$display("33[33;43mHellowarning!33[0m");

Thiệp cập đích nhan sắc:

bebb9b7e-da5c-11ec-ba43-dac502259ad0.pngThiệp cập đích nhan sắc

Nguyên văn tiêu đề: vcs thật dụng kỹ xảo

Văn chương xuất xử: 【 vi tín công chúng hào: Lộ khoa nghiệm chứng 】 hoan nghênh thiêm gia quan chú! Văn chương chuyển tái thỉnh chú minh xuất xử.

Thẩm hạch biên tập: Thang tử hồng
Thanh minh: Bổn văn nội dung cập phối đồ do nhập trú tác giả soạn tả hoặc giả nhập trú hợp tác võng trạm thụ quyền chuyển tái. Văn chương quan điểm cận đại biểu tác giả bổn nhân, bất đại biểu điện tử phát thiêu hữu võng lập tràng. Văn chương cập kỳ phối đồ cận cung công trình sư học tập chi dụng, như hữu nội dung xâm quyền hoặc giả kỳ tha vi quy vấn đề, thỉnh liên hệ bổn trạm xử lý. Cử báo đầu tố
  • Linux
    + quan chú

    Quan chú

    87

    Văn chương

    11199

    Lưu lãm lượng

    208692
  • Phảng chân khí
    + quan chú

    Quan chú

    14

    Văn chương

    1012

    Lưu lãm lượng

    83587
  • Verilog
    + quan chú

    Quan chú

    28

    Văn chương

    1343

    Lưu lãm lượng

    109918
  • VCS
    VCS
    + quan chú

    Quan chú

    0

    Văn chương

    78

    Lưu lãm lượng

    9581

Nguyên văn tiêu đề: vcs thật dụng kỹ xảo

Văn chương xuất xử: 【 vi tín hào: Rocker-IC, vi tín công chúng hào: Lộ khoa nghiệm chứng 】 hoan nghênh thiêm gia quan chú! Văn chương chuyển tái thỉnh chú minh xuất xử.

Thu tàng Nhân thu tàng

    Bình luận

    Tương quan thôi tiến

    NhấtVăn tường giải ModelsimĐại mãPhúc cái suấtCông năng sử dụng

    ), toggle ( tín hào phiên chuyển ), fsm ( hữu hạn trạng thái cơ ) đẳng đa chủngPhúc cái suấtTình huống. 1, biên dịchTuyển hạng( compile option ): Tại Modelsim đích Workspace lí tuyển trung nhu yếu tra khánĐại mãPhúc cái suấtĐích văn
    的头像 Phát biểu vu12-09 11:45 5703Thứ duyệt độc

    Chẩm ma dụng Vivado tốPhúc cái suấtPhân tích

    Tại tốPhảng chânĐích thời hầu vãng vãng hội khứ tốĐại mãPhúc cái suấtHòa công năngPhúc cái suấtĐích phân tích, lai bảo chứngPhảng chânThị tố đích bỉ giác sung phân hoàn bị đích.
    的头像 Phát biểu vu01-03 12:34 1471Thứ duyệt độc
    怎么用Vivado做<b class='flag-5'>覆盖率</b>分析

    Khảm nhập thứcPhảng chânBình đài SkyEye đíchPhúc cái suấtPhân tích tương quan tư liêu hạ tái

    Đại mãChấp na hànhPhúc cáiTình huống đích công năng, lai kiểm trắcĐại mãTrung vị chấp hànhPhúc cáiTình huống. TạiPhúc cái suấtPhân tích thời nhu yếu phân tích khảm nhập thức nhuyễn kiện đích chỉ lệnhPhúc cái suất
    Phát biểu vu12-17 07:27

    Quan vu SpinalHDL trung đích nghiệm chứngPhúc cái suấtThu tập giản đan thuyết minh

    Thông quá chỉ định withCoverageTuyển hạngLai khai khảiPhúc cái suấtThu tập. Tại 《SpinalHDL— cơ vu Scala đích trắc thí khuông giá 》NhấtVăn trung ngã môn phách hoànPhảng chânTrắc thí dụng lệHậuTại tương ứng mục
    Phát biểu vu06-24 15:56

    Cơ vu linux hệ thống đíchVCSSử dụng cậpPhảng chânThuyết minh

    Đại mãSử dụng vi lệ, tiến hànhVCSSử dụng thuyết minh. Giản yếu thuyết minh hạ cai xuyến khẩu công năng. Cai xuyến khẩu công tác tại ba đặcSuấtVi 115200, vô kỳ ngẫu kiểm nghiệm vị.NhấtVị đình chỉ vị. Đương sử năng tín hào hữu hiệu, tựu tương 8 vị sổ cư phát tống xuất khứ, thâu xuất kết thúc
    Phát biểu vu07-18 16:18

    Trọng điểm li thanhPhúc cái suấtTương quan đích khái niệm dĩ cập tại tâm phiến khai phátLưu trìnhTrung cânPhúc cái suấtTương quan đích sự hạng

    .Đại mãPhúc cái suấtThịNhấtChủng nhuyễn kiện hòa ngạnh kiện khai phát đô thông dụng đích thủ đoạn, thông quá tạiPhảng chânTrình tự vận hành đích quá trình trung ký lục thống kế sổ cư, lai thuyết minhĐại mãTrung na ta ngữ cú bị phân biệt chấp hành liễu đa thiếu thứ. Thông quá đối
    Phát biểu vu09-14 11:57

    VerilogĐại mãPhúc cái suấtKiểm tra

    VerilogĐại mãPhúc cái suấtKiểm tra thị kiểm tra nghiệm chứng công tác thị phủ hoàn toàn đích trọng yếu phương pháp,Đại mãPhúc cái suất( codecoverge ) khả dĩ chỉ kỳ VerilogĐại mãMiêu thuật đích công năng hữu đa thiếu
    Phát biểu vu04-29 12:35 8321Thứ duyệt độc

    Khảm nhập thứcĐại mãPhúc cái suấtThống kế phương pháp hòa kinh nghiệm

    ) thị nhuyễn kiện trắc thí trung đíchNhấtChủng độ lượng, miêu thuật trình thức trung nguyênĐại mãBị trắc thí đích bỉ lệ hòa trình độ, sở đắc bỉ lệ xưng viĐại mãPhúc cái suất.Tại tiến hànhĐại mãTrắc thí thời, thường thường sử dụng
    的头像 Phát biểu vu01-06 15:06 3102Thứ duyệt độc
    嵌入式<b class='flag-5'>代码</b><b class='flag-5'>覆盖率</b>统计方法和经验

    Thống kế khảm nhập thứcĐại mãPhúc cái suấtĐích phương pháp hòa kinh nghiệm

    ) thị nhuyễn kiện trắc thí trung đíchNhấtChủng độ lượng, miêu thuật trình thức trung nguyênĐại mãBị trắc thí đích bỉ lệ hòa trình độ, sở đắc bỉ lệ xưng viĐại mãPhúc cái suất.Tại tiến hànhĐại mãTrắc thí thời, thường thường sử dụng
    的头像 Phát biểu vu03-29 11:58 1887Thứ duyệt độc
    统计嵌入式<b class='flag-5'>代码</b><b class='flag-5'>覆盖率</b>的方法和经验

    Sử dụngVCSLưỡng chủngPhảng chânflow đích cơ bổn bộ sậu

    Đích design, tịnh thả hoàn đề cung liễuNhấtTổPhảng chânHòa điều thí công năng lai nghiệm chứng design, giá ta đặc tính đề cung liễu nguyênĐại mãCấp debug hòaPhảng chânKết quả. Chi trì nguyên sinh trắc thí bình đài, SystemVerilog, nghiệm chứng quy hoa,
    的头像 Phát biểu vu05-07 14:20 4787Thứ duyệt độc

    CaoPhúc cái suấtĐích VerilogĐại mãĐích biên tả kỹ xảo

    Thiết kế công trình sư nhu yếu quan tâm đích chủ yếu hữu hànhPhúc cái suất( Block ), điều kiệnPhúc cái suất( Expression ), phiên chuyểnPhúc cái suất( Toggle ), trạng thái cơPhúc cái suất.Bổn văn tòng ASIC thiết kế đích giác độ thượng lai thảo luận, như hà tả xuất cao
    的头像 Phát biểu vu05-26 17:30 4162Thứ duyệt độc

    Thiển đàmVCSĐích lưỡng chủngPhảng chânflow

    Kỉ hồ sở hữu đích tâm phiến thiết kế, tâm phiến nghiệm chứng công trình sư, mỗi thiên đô tại hòaVCSĐả giao đạo, đãn thị do vu nghiệm chứng hoàn cảnh đích thốngNhấtHóa quản lý,NhấtBàn tương bất đồng đích biên dịchPhảng chânTuyển hạngTập thành tại
    的头像 Phát biểu vu01-10 11:20 3511Thứ duyệt độc

    EDAPhảng chânNghiệm chứng hoàn cảnh trung đích kích lệ, kiểm tra hòaPhúc cái suất

    Hạ đồ thịNhấtCá điển hình đích EDAPhảng chânNghiệm chứng hoàn cảnh, kỳ trung chủ yếu đích tổ kiện tựu thị kích lệ sinh thành, kiểm tra hòaPhúc cái suấtThu tập.
    的头像 Phát biểu vu04-15 10:13 1619Thứ duyệt độc

    Đại mãPhúc cái suấtKý lục

    Vi xác bảo cụ thể đích sản phẩm ( lệ như, y liệu hoặc hàng không điện tử thị tràng ) chất lượng hợp cách, Thông thường nhu yếu đề cung ngữ cúPhúc cáiDữ phán địnhPhúc cáiNhận chứng chứng minh. Đối vu các chủng khảm Nhập thức hệ thống, quy phạm yếu cầu cao độ ưu hóa đíchĐại mãNhu yếu thật thời trắc thí. Cấm chỉ Đại mãSáp trang hòa vận hành thời soán
    Phát biểu vu11-03 11:02 0Thứ hạ tái
    <b class='flag-5'>代码</b><b class='flag-5'>覆盖率</b>记录

    VCSPhảng chânoption giải tích

    VCSĐíchPhảng chânTuyển hạngPhân biên dịch ( compile-time )Tuyển hạngHòa vận hành ( run-time )Tuyển hạng.Biên dịchTuyển hạngDụng
    的头像 Phát biểu vu01-06 10:19 2320Thứ duyệt độc